verilog一种多位宽的10进制计数器

在涉及到ASCII等编码的时候,往往需要使用十进制计数器来进行测试,下面分享一种简单的测试用的10进制计数器程序:

reg[3:0] dec_cnt[3:0];
//10进制加法器
always @(posedge clk or negedge rstn) begin
    if(!rstn) begin
        dec_cnt[0] <= 4'b0;
        dec_cnt[1] <= 4'b0;
        dec_cnt[2] <= 4'b0;
        dec_cnt[3] <= 4'b0;
    end
    else begin
        if(dec_cnt[0]==9)       //0
            dec_cnt[0] <= 0;
        else
            dec_cnt[0] <= dec_cnt[0] +1;    

        if(dec_cnt[0]==9)       //10
            if(dec_cnt[1]==9)
                dec_cnt[1] <= 0 ;
            else
                dec_cnt[1] <= dec_cnt[1] +1;
   
        if(dec_cnt[0]==9)       //100
            if(dec_cnt[1]==9)
                if(dec_cnt[2]==9)
                    dec_cnt[2] <= 0;
                else
                    dec_cnt[2] <= dec_cnt[2]+1;

        if(dec_cnt[0]==9)       //1000
            if(dec_cnt[1]==9)
                if(dec_cnt[2]==9)
                    if(dec_cnt[3] == 9)
                        dec_cnt[3] <= 0;
                    else
                        dec_cnt[3] <= dec_cnt[3] +1;
    end

end

这种写法就是简单方便直接上手测试,但是涉及到高位的时,if逻辑判断链条会很长,可能不利于高速时序要求。

  • 1
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值