vitis使用教程

学习记录

在学习ZYNQ嵌入式开发的过程中,正点原子的教程是采用SDK,而我下载的vivado2020.1已经变成了vitis,所以写一这篇博客,方便后续查阅。

找到vitis

vivado->tools->launch vitis ide

在这里插入图片描述
如果打开失败,说明没有安装vitis,先进行安装。

安装vitis

vivado->help->Add Design Tools or Devices…或者直接在赛灵思的官网直接下载对应的版本也可以。
在这里插入图片描述
退出杀软来加速安装,下一步,登录。
在这里插入图片描述

(安装的时间有点长)建议点击preference,关闭optimize disk usage,否则安装结束后可能会卡在optimize disk usage上。有人优化了一晚上没结束,不得已重装。我晚上打开安装程序,第二天发现电脑已经睡眠了,程序卡在optimize disk usage上,等了半上午,快失去耐心得时候安装完成了。
在这里插入图片描述
在这里插入图片描述

我已经安装完成了,所以是灰色状态。

创建platform工程

vivado->tools->launch vitis ide
选择工作路径
在这里插入图片描述

先创建一个 platform project,填写工程名;
在这里插入图片描述

选择xsa文件路径;
在这里插入图片描述

创建application工程

新建一个应用工程
在这里插入图片描述

选择刚刚创建的平台;
在这里插入图片描述

填写应用工程名;
在这里插入图片描述
继续、默认;
在这里插入图片描述
选择空白C工程或hello world官方工程;
在这里插入图片描述
结束工程创建;
在这里插入图片描述

找例程

explorer->platform工程名->platform.spr->board support package
board support package点击一次显示
在这里插入图片描述
board support package再点击一次,并拖动滚动条找到GPIO部分;
在这里插入图片描述
可以查看文档documentation,也可以加载例程;
点击 Documentation 将在浏览器窗口打开 GPIO 的 API 文档,里面有关于 GPIO 的详细信息;
在这里插入图片描述

点击 Import Examples,会弹出下图所示的导入示例界面;
在这里插入图片描述

这两个示例的介绍可以在刚才打开的 API 文档中看到。
xgpiops_intr_example.c 包含有关如何直接使用 XGpiops 驱动程序的示例。此示例显示了中断模式下驱动程序的用法,并使用 GPIO 的中断功能检测按钮事件,根据输入控制 LED 输出。
xgpiops_polled_example.c同样包含有关如何直接使用 XGpiops 驱动程序的示例。此示例提供了用于读取/写入各个引脚的 API 的用法。
选择 xgpiops_polled_example 示例

在 Project Explorer 中,新增了 pio_mio_bsp_xgpiops_polled_example_1 目录,我们打开其 src 目录下的 xgpiops_polled_example.c 文件。

在这里插入图片描述

代码没看懂,删了,写其他的吧。
在这里插入图片描述

删除应用工程,连本地文件一起删除。

编写源码

src右键,新建文件
在这里插入图片描述

文件名main.c
在这里插入图片描述

写代码,我直接粘贴现成的代码了;
在这里插入图片描述
编译工程,点击一下应用工程名
再点击Project->build project;
在这里插入图片描述
等右下角进度条跑完,build finished;
在这里插入图片描述

下载程序

如果使用到了PL资源,需要加载比特流,反之不用。
因为本次实验使用了 PL 内的资源,因此我们在下载软件编译生成的 elf 文件之前,需要先下载硬件设计过程中生成的 bitstream 文件,对 PL 部分进行配置。
点击“ Xilinx-> Program FPGA;
在这里插入图片描述
点击右下角的“Program”;
一段进度条过去了;

配置 PL 完成后, 接下来我们要下载软件程序。在应用工程 上右击,选择“Run As->launch hardware
一段进度条过去了
程序就已经运行了,可以从串口的打印结果上看到。

在这里插入图片描述

没了
插入一下,串口界面可以在windows->show view
搜索terminal
在这里插入图片描述

单机右上角+连接相应串口;
在这里插入图片描述

参考文献

1、正点原子ZYNQ嵌入式开发;
2、 Xilinx vitis学习教程:ZYNQ之Hello world(1).
3、vitis使用教程.

  • 4
    点赞
  • 27
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
Zynq是一种基于Xilinx FPGA和ARM Cortex处理器的可编程系统芯片。Vitis是一种全新的开发工具套件,用于开发和部署高性能、低功耗和可扩展的应用程序。 Vitis为Zynq开发平台提供了一整套开发工具和库,以简化应用程序的开发过程。Vitis应用教程的目的是帮助用户了解和掌握Vitis工具和相关技术,从而快速开发出高效、稳定的Zynq应用程序。 Vitis应用教程通常包括以下内容: 1. 环境设置:教程会介绍如何正确配置Vitis开发环境,包括安装必要的软件和驱动程序,并配置硬件设备与开发板的连接。 2. 应用程序开发:教程会引导用户使用Vitis开发工具,编写和调试应用程序。用户可以学习如何创建项目、添加源代码文件、设置编译选项、构建和调试应用程序。 3. 并行计算:Vitis支持并行计算,通过使用OpenCL、OpenMP等技术,用户可以利用FPGA的计算能力来加速应用程序的执行。教程会介绍如何使用Vitis进行并行计算的开发。 4. 优化与调试:Vitis提供了丰富的优化和调试工具,帮助用户优化应用程序的性能和功耗。教程会介绍如何使用这些工具来进行代码优化、性能分析和调试。 5. 应用部署:完成应用程序的开发后,教程会讲解如何将应用程序部署到Zynq开发板上运行,以及如何进行调试和性能测试。 通过学习Vitis应用教程,用户可以掌握Vitis开发工具的使用方法,深入了解Zynq开发平台的特性和优势,快速开发出高性能、低功耗的应用程序,并实现在FPGA上的硬件加速。
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值