数字电路实验(十七)——CPU综合设计(13)

12)led部分

在这里插入图片描述

library ieee;
use ieee.std_logic_1164.all;
entity led is
port(input:in std_logic_vector(7 downto 0):="ZZZZZZZZ";
	en:in std_logic;
	clock:in std_logic;
	output:out std_logic_vector(7 downto 0):="ZZZZZZZZ"
);
end led;
architecture st of led is
signal i:std_logic_vector(7 downto 0):="ZZZZZZZZ";
begin
process(en,clock)
begin
if (en='1' and clock='0') then--rising_edge(clock)
	i<=input;
else
	i<="ZZZZZZZZ";
end if;
end process;
output<=i;
end st;

接口设计:
Clock:时钟信号
en:使能信号
input:输入信号
output:输出信号

功能实现:
存储总线上输出的led_out的值,并将其进行输出。在执行led_out指令的时候输出总线上的值,否则就输出高阻态。

仿真验证:
与cpu在同一文件中,与cpu同仿真。
下篇点此

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值