超前进位加法器(附Verilog代码)

  • 超前进位加法器:

普通全加器(行波进位加法器)是单比特全加器的级联,高位需要低位的进位位,故位宽增大时,加法的组合逻辑不断增大,超前进位加法器解决了这一问题。

  • 优点:不需要等待低位的进位。直接计算就可得到该bit的进位位。
  • 缺点:需要额外的组合逻辑计算进位位。
  • 对比:对于较大位数的加法器,如32位的加法器。如果采用行波进位的方式,需要(32*2+1)= 65级的门延迟(见参考博客2), 那如果采用超前进位的方式,理想情况下也只需要四级的门延迟。可惜的是, 这
  • 9
    点赞
  • 85
    收藏
    觉得还不错? 一键收藏
  • 5
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值