序列检测——有限状态机FSM(附verilog代码)

题目:使用状态机检测“1101”,串行输入的测试序列为“11101101011010”,输出信号为valid有效信号,检测到时输出高,否则为低,考虑序列叠加情况,比如“1101101”,则有两个“1101”。

(不考虑重叠情况的话只是状态转移图有点变化,状态机的写法相似)

本文使用3段式状态机,参考西南交大邸志雄老师课程中第三章第7节关于状态机的写法。

有两个关键点:

两段式状态机:第一个always块采用同步时序描述状态转移;第二个always块组合逻辑判断状态转移条件,描述状态转移规律,并输出结果(组合逻辑输出)。

三段式状态机:第一个always块采用同步时序描述状态转移;第二个always块组合逻辑判断状态转移条件,描述状态转移规律;第三个always块时序逻辑输出结果(寄存器输出)。

同时,需要注意的是,无论二段还是三段,第二段描述状态转移规律用的都是CS(当前状态),三段式状态机的第三段寄存器输出所用状态为NS&

  • 5
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值