HDLBits-Priority encoder with casez

casez treats bits that have the value z as don't care in the comparison.

module top_module (
    input [7:0] in,
    output reg [2:0] pos  );
    
    always @ (*) begin
        casez(in)
            8'bzzzzzzz1:pos = 3'd0;
            8'bzzzzzz1z:pos = 3'd1;
            8'bzzzzz1zz:pos = 3'd2;
            8'bzzzz1zzz:pos = 3'd3;
            8'bzzz1zzzz:pos = 3'd4;
            8'bzz1zzzzz:pos = 3'd5;
            8'bz1zzzzzz:pos = 3'd6;
            8'b1zzzzzzz:pos = 3'd7;
            default:pos = 3'd0;
        endcase
    end

endmodule

A case statement behaves as though each item is checked sequentially. Notice how there are certain inputs (e.g., 4'b1111) that will match more than one case item. The first match is chosen (so 4'b1111 matches the first item, out = 0, but not any of the later ones).

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值