【UVM】环境中sequence的几种启动方式

uvm目前在环境中启动sequence目前有三种方式分别是:

1、将sequence设置为某个phase的default_phase;

uvm_config_db#(uvm_object_wrapper)::set(this,"xxx.mian_phase","default_phase",sequence::get_type())

  这种方式的好处很明显,直接给出类型名,不需要实例化。只需要set之后工厂就会帮助我们实例化这个sequence,但是缺点就是把sequence和

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值