Vivado 使用过程中遇到的问题记录

HLS类IP核综合失败

此IP是HLS类IP核,具体错误如下

Error:[Synth 8-439] module xxx not found

Parameter C_S_AXI_CTRL_ADDR_WIDTH bound to: 32’sb00000000000000000000000000001000
Parameter C_S_AXI_CTRL_DATA_WIDTH bound to: 32’sb00000000000000000000000000100000

SOLUTION1将系统时间改至2018年前后编译
SOLUTION2https://support.xilinx.com/s/article/70400?language=en_US

封装自定义IP时加入ILA

封装好的IP核使用了ILA IP核,但是用IP核能找不到ILA相关文件
报错信息:[Synth 8-439] module ‘ila’ not found.

SOLUTION
首先打开一个Vivado工程,添加并配置ILA

在这里插入图片描述

生成对应的 ila.xci 文件备用

然后在BD设计GUI模式下,点击该封装好的IP核 “Edit in Packger”

在这里插入图片描述

然后会打开一个新的Vivado工程,找到source 中成了 ? 问好的 ILA ip核
然后 Add source -> Add File ,添加刚才生成的ila.xci
直接添加后 Tcl Console 会提示错误,找到错误的命令,根据提示,复制该命令,后面加 -force 再运行即可。
最后重新 Re_package IP,最后回原工程中重新更新ip,解决问题。

Vivado 搭配 VsCode 卡顿,占用CPU大

配置VsCode
Tools -> Settings -> Text Editor ,配置如下

F:/Microsoft VS Code/Code.exe -g [file name]

VsCode 路径换一下即可

在这里插入图片描述

VsCode占用CPU大解决方案:
事先打开VsCode,再从Vivado打开文件,就不会出问题了
虽然简单但是有效!

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值