VHDL硬件描述语言——wait 语句

VHDL硬件描述语言——wait 语句

wait 语句是等待语句,在进程(process)的顺序描述语句中用于控制进程状态的变化。wait 语句设定一定的条件,当进程执行到wait 语句时,进程就直接挂起,直到设定的条件满足时再继续执行。
在使用wait语句进行建模时需要注意,wait onwait forwait 语句是不可综合的。

wait 语句可以设定4种不同的条件,分别为:
 1. wait on   :等待敏感信号变化
 2. wait until:等待条件满足
 3. wait for  :等待指定的时间
 4. wait	  :无限等待
  • 0
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值