牛客网Verilog刷题——VL38

牛客网Verilog刷题——VL38

题目

  设计一个自动贩售机,输入货币有三种,为0.5/1/2元,饮料价格是1.5元,要求进行找零,找零只会支付0.5元。需要注意的是,投入的货币会自动经过边沿检测并输出一个在时钟上升沿到1,在下降沿到0的脉冲信号,其中rst为低电平复位。
  信号示意图如下:

在这里插入图片描述

  波形示意图如下。
在这里插入图片描述
  输入输出描述:

信号类型输入/输出位宽描述
clkwireIntput1系统时钟信号
rst_nwireIntput1异步复位信号,低电平有效
d1wireIntput1投入0.5元
d2wireIntput1投入1元
d3wireIntput1投入2元
out1regOutput1输出饮料
out2regOutput2找零,00表示0元,01表示0.5元,10表示1元,11表示1.5元

答案

`timescale 1ns/1ns
module seller1(
	input wire clk  ,
	input wire rst  ,
	input wire d1 ,
	input wire d2 ,
	input wire d3 ,
	
	output reg out1,
	output reg [1:0]out2
);
//*************code***********//

//当次投入金额
wire	[2:0]	d;
assign d = {d3,d2,d1};//100、010、001

//投入累计金额
localparam S0  = 7'b000_0001; //0
localparam S1  = 7'b000_0010; //0.5
localparam S2  = 7'b000_0100; //1
localparam S3  = 7'b000_1000; //1.5
localparam S4  = 7'b001_0000; //2
localparam S5  = 7'b010_0000; //2.5
localparam S6  = 7'b100_0000; //3

reg	[6:0] curr_state;
reg	[6:0] next_state;

always @(posedge clk or negedge rst)
	if(!rst)
		curr_state <= S0;
	else
		curr_state <= next_state;
		
always @(*)
	case(curr_state)
		S0:
			if(d==3'b001)
				next_state = S1;
			else if(d==3'b010)
				next_state = S2;
			else if(d==3'b100)
				next_state = S4;
			else
				next_state = next_state;
		
		S1:
			if(d==3'b001)
				next_state = S2;
			else if(d==3'b010)
				next_state = S3;
			else if(d==3'b100)
				next_state = S5;
			else
				next_state = next_state;		
	
		S2:
			if(d==3'b001)
				next_state = S3;
			else if(d==3'b010)
				next_state = S4;
			else if(d==3'b100)
				next_state = S6;
			else
				next_state = next_state;

		S3:
			next_state = S0;

		S4:
			next_state = S0;
			
		S5:
			next_state = S0;
		
		S6:
			next_state = S0;
			
		default:next_state = S0;
			
	endcase

always @(posedge clk or negedge rst)
	if(!rst)
		out1 <= 1'b0;
	else if((next_state==S3) || (next_state==S4) || (next_state==S5) || (next_state==S6))
		out1 <= 1'b1;
	else
		out1 <= 1'b0;

always @(posedge clk or negedge rst)
	if(!rst)
		out2 <= 2'd0;
	else if(next_state==S3)
		out2 <= 2'd0;
	else if(next_state==S4)
		out2 <= 2'd1;
	else if(next_state==S5)
		out2 <= 2'd2;
	else if(next_state==S6)
		out2 <= 2'd3;
	else
		out2 <= 2'd0;

//*************code***********//
endmodule
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值