FPGA控制DDR读写(AXI4总线接口)

FPGA控制DDR读写(AXI4总线接口)

  1. 范围

本文适用于FPGA控制DDR读写

  1. MIG核
    1. MIG信号注释

DDR型号为 MT41K256M16TW-107

下面是MIG IP核的相关信号

                                                             图2.1.1

                     图2.1.2

由于我选择是AXI4的总线接口,所以我们首先要了解一下AXI4总线协议

直接看一下AXI4的时序

突发式读的时序图:

当地址出现在地址总线后,传输的数据将出现在读数据通道上。设备保持VALID为低直到读数据有效。为了表明一次突发式读写的完成,设备用RLAST信号来表示最后一个被传输的数据

                 图2.1.3

突发式写的时序图:

这一过程的开始时,主机发送地址和控制信息到写地址通道中,然后主机发送每一 个写数据到写数据通道中。当主机发送最后一个数据时,WLAST信号就变为高。当设备接收完所有数据之后他将一个写响应发送回主机来表明写事务完成。

                 图2.1.4

  1. 全部5个通道使用相同的VALID/READY握手机制传输数据及控制信息。传输源产生VLAID信号来指明何时数据或控制信息有效。而目地源产生READY信号来指明已经准备好接受数据或控制信息。传输发生在VALID和READY信号同时为高的时候。VALID和READY信号的出现有三种关系。
  1. VALID先变高READY后变高。时序图如下:

在箭头处信息传输发生。

  1. READY先变高VALID后变高。时序图如下:

同样在箭头处信息传输发生。

  1. VALID和READY信号同时变高。时序图如下:

在这种情况下,信息传输立马发生,如图箭头处指明信息传输发生。

  1. 通道之间的关系

   地址、读、写和写响应通道之间的关系是灵活的。

   例如,写数据可以出现在接口上早于与其相关联的写地址。也有可能写数据与写地址在一个周期中出现。

   两种关系必须被保持:

  (1)读数据必须总是跟在与其数据相关联的地址之后。

  (2)写响应必须总是跟在与其相关联的写事务的最后出现。

  1. 通道握手信号之间的依赖性

   读事务握手依赖关系如图:

  1. 设备可以在ARVALID出现的时候在给出ARREADY信号,也可以先给出ARREADY信号,再等待ARVALID信号。
  2. 但是设备必须等待ARVALID和ARREADY信号都有效才能给出RVALID信号,开始数据传输。

写事务握手依赖关系如图:

  1. 主机必须不能够等待设备先给出AWREADY或WREADY信号信号后再给出信号AWVALID或WVLAID。
  2. 设备可以等待信号AWVALID或WVALID信号有效或者两个都有效之后再给出AWREADY信号。
  3. 设备可以等待AWVALID或WVALID信号有效或者两个信号都有效之后再给出WREADY信号。

基本上我们掌握上面的AXI4的总线时序,那么我们就可以直接控制AXI4的信号来驱动DDR的读写

下面我们看一下AXI4相关的控制模块

              图2.1.5

这个模块的功能是是控制AXI4总线

 

 

   下面附上此模块的链接

(10条消息) MIG核AXI4总线控制模块-电信文档类资源-CSDN文库

  • 6
    点赞
  • 85
    收藏
    觉得还不错? 一键收藏
  • 8
    评论
AXI4总线是一种用于高性能片上系统通信的协议。它是一种全面、可靠和可扩展的总线架构,通常被用于连接处理器、嵌入式系统和外设等设备。 AXI4总线的主要特点包括: 1. 突出性能:AXI4总线支持高带宽数据传输,使得各种设备之间的通信更加高效。 2. 灵活性和可扩展性:AXI4总线支持多个从设备连接到一个主设备,甚至支持多个主设备同时访问同一个总线。 3. 保证数据的一致性:AXI4总线使用一致的事务模型,确保数据的完整性和一致性,有效地避免了冲突和竞争条件。 4. 支持流水线传输:AXI4总线采用分阶段流水线方式传输数据,实现了高效的并行处理和数据流动。 AXI4总线的使用需要了解一些基本的概念,如主设备、从设备、地址通道、数据通道和控制通道等。主设备发起读或写事务,从设备则响应这些事务。地址通道用于传递设备之间的地址信息,数据通道用于传递实际的数据,控制通道用于传递控制信号。 AXI4总线协议的详细规范可以在AXI4总线的PDF文档中找到。该文档描述了AXI4总线的各个规范、时序和协议等详细细节,对于理解和设计AXI4总线系统非常有帮助。 总之,AXI4总线是一种高性能、可靠和可扩展的协议,用于连接处理器、嵌入式系统和外设等设备,其规范和细节可以在AXI4总线的PDF文档中找到。希望这些信息对您有所帮助。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 8
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值