华为海思数字芯片设计笔试第一套

1.声明

下面的题目作答都是自己认为正确的答案,并非官方答案,如果有不同的意见,可以评论区交流。
这些题目也是笔者从各个地方收集的,感觉有些题目答案并不正确,所以在个别题目会给出自己的见解,欢迎大家讨论。
这份题目是参考公众号:数字芯片设计,也搬运了很多它的解析。

2.题目

1.已知“a=1’b1;b=3’b001;”,那么{a,b}=()
【A】3’b001
【B】3’b101
【C】4’b0011
【D】4’b1001
解析:位拼接运算符{},用这个运算符可以把两个或多个信号的某些位拼接起来进行运算操作。已知“a=1’b1;b=3’b001;”,那么{a,b}=4’b1001, {b,a}=4’b0011, {4{a}}=4’b1111, {b,4{a}}=7’b001_1111。
正确答案:D

2.描述组合逻辑时,当if语句不需要有else分支时,不写else分支,可以节省面积()
【A】正确
【B】错误
解析:在描述组合逻辑时,只有if没有else,会生成锁存器,并不会节省面积。
正确答案:B

3.reg [255:0] mem [31:0];该声明定义了一个位宽为32bits,深度为256的memory()
【A】正确
【B】错误
解析:该声明定义了一个位宽为256bits,深度为32的memory。
正确答案:B

4.现有表达式expr=cond_expr?expr1:expr2,如果cond_expr为x或者z,expr1=1001;expr2=1010,则expr应为()
【A】1010
【B】10xx
【C】1001
【D】101x
解析:如果cond_expr为1,则expr=expr1;如果cond_expr为0,则expr=expr2;如果cond_expr为x或者z,那么使用如下表将expr1和expr2的结果逐位合并,计算最终结果。结果为10xx。
正确答案:B

在这里插入图片描述5.同步时序电路的状态只在统一的时钟脉冲控制下才同时变化一次,如果时钟脉冲没有到来,即使输入信号发生变化,电路的状态仍不改变()
【A】正确
【B】错误
解析:时序逻辑电路有两种形式:一是同步时序电路,电路状态只在统一的时钟脉冲控制下才同时变化一次,如果时钟脉冲没有到来,即使输入信号发生变化,电路的状态仍不改变;二是异步时序电路,电路状态变化不是同时发生的,它没有统一的信号脉冲,输入信号的变化就能引起状态的变化。
正确答案:A

6.如下一个分频电路,触发器DIV_FF的建立时间为2ns,保持时间为2ns,逻辑延时为6ns,反相器INV_1、INV_2的逻辑延时为2ns,连线延时为0。那么该电路正常工作的最高频率为多少?()
在这里插入图片描述解析:保持时间的分析其实是和时钟周期没有关系的(因为latch edge 和cature edge(hold)都是抓的一个时钟沿),计算工作的最大时钟频率,我们只需要分析建立时间即可。
我们知道时序分析,一般是分析寄存器和寄存器之间的,所以对于上面的电路我们其实可以改一下
在这里插入图片描述
这样就好分析了很多,其实上图的两个寄存器就是DIV_FF
data arrival time
Tclk1+Tco+tdata
2+6+2<2+tclk-2-0
tclk>10ns
所以周期小于100M
正确答案:100M

7.循环表达式的循环次数必须为常数()
【A】正确
【B】错误
解析:循环表达式的循环次数必须为常数、变量或者表达式!
正确答案:B

8.下面哪种异步处理的方法完全正确 ()
【A】在对数据总线进行异步处理前转化成Gray Code,然后打拍处理,同步后再转换成原码
【B】在模块A,有两个控制信号通过正确的同步方法把两个信号进行同步到B时钟域,但是在B时钟域,对这两个同步过来的信号进行了逻辑运算,得到另外一个信号
【C】实现异步FIFO时,在地址穿越时钟域前转化成Gray Code
【D】单比特信号在跨越时钟域前不需要寄存器输出
解析:
A选项:在对数据总线进行异步处理时不能转化成格雷码然后打拍处理,例如,先后两个时钟周期分别传过来4’0001,4’0100,转化为格雷码分别为4’b0001,4’b0110,这两个数据有3位不同,不能进行打拍处理(只有当相邻两个时钟周期最多变化1位的情况下才可以进行打拍处理)所以A错误
我的理解:只有数据在source clk下每次都是按照1递增的情况下,在多bit同步的时候,才可以用gray code,这样才能保证在source clk每次只变化1bit而对于数据总线,无法保证每次按照1递增,所以不对;
B选项,应该先进行逻辑运算,然后将结果通过正确的同步方法同步到B时钟域;我的理解是控制信号一般是单bit信号,他相当于把两个单bit信号进行了同步之后,再进行逻辑运算,其实就是在干多bit同步的事情,那肯定不对
C选项正确;
D选项,在跨越时钟域之前应该先寄存一拍。
正确答案:C

9.下列降功耗措施哪个可以降低峰值功耗()
【A】大幅度提高HVT比例
【B】Memory shut down
【C】Power gating
【D】静态模块级Clock gating

解析:Memory shut down,Power gating是将暂时不用的部分关闭Power,主要降低静态功耗;Clock gating是将暂时不用的部分切断时钟,切断时钟后信号不翻转,所以会降低信号翻转率,主要降低动态功耗;峰值功耗指的是最大功耗,可以想象,当各个模块都在工作时并且正好翻转到NMOS和PMOS都处于开启状态时(也就是短路状态下),芯片的功耗是最大的,而由HVT(高阈值电压)的器件组成的电路的短路电流是小于由LVT(低阈值电压)的器件组成的电路的短路电流的,所以大幅度提高HVT的比例,可以降低峰值时的电流,所以可以降低峰值功耗。
正确答案:A

10.如下Modelsim命令在Testbench中的执行顺序正确的是()
【A】vlib、vmap、vsim、vlog
【B】vlog、vlib、vmap、vsim
【C】vlib、vmap、vlog、vsim
【D】vlib、vlog、vmap、vsim
解析:
vlib:创建物理目录
vmap:映射逻辑库到物理目录
vlog:编译
vsim:仿真
所以选C

11.在同步电路设计中,电路的时序模型如下:T1为触发器的时钟端到数据输出端的延时,T2和T4为连线延时,T3为组合逻辑延时,T5为时钟网络延迟。假设时钟clk的周期为Tcycle、Tsetup分别为触发器的setuptime和hold time。那么,为了保证数据正确采样(该路径为非multi-cycle路径),下面等式是否正确?()
【A】正确
【B】错误
![在这里插入图片描述](https://img-blog.csdnimg.cn/direct/97411在这里插入图片描述

解析:根据题意可得到如下典型的静态时序分析的模型,根据静态时序分析的知识(数字设计中设计和时序都很重要,如果没有了解的建议阅读书籍<静态时序分析与建模>)的知识,我们可以得到所列出计算时间的公式为正确.

正确答案:A

12.相较于模拟通信系统,以下不属于数字通信系统优势的是()
【A】易于加密,保密性好
【B】设备易于集成,易微型化
【C】传输差错可控
【D】传输带宽小
解析:数字信号易于加密处理,所以数字通信保密性强,选项A正确;数字通信系统具有功耗低,重量低且体积小的优点,B选项正确;数字信号通过差错控制编码,可提高通信的可靠性,C选项正确;数字通信领域的带宽(数据率)与模拟通信领域的带宽(频率宽度)是两个不同的概念,没办法比较,所以D错误
正确选项:D

13.假设输入信号X位宽为10bit,InputA位宽为5bit,InputB位宽为14bit,实现Y=X*InputA+InputB功能,并要求不损失精度,那么输出信号Y位宽应不小于()
【A】16bit
【B】29bit
【C】15bit
【D】24bit
解析:这里保证精度的含义是指数据没有溢出,需要使用足够的位数来保存Y的值,X位宽为10bit,InputA位宽为5bit,假设InputA的值为最大所有位都为1.X和InputA相乘,需要将X的值左移5位。得到乘积的结果为15位,加上14bit的InputB,假设全为1,需要进位16bit来保存结果,所以正确答案选A.

14.下图是长除法CRC4电路,请选择对应的多项式()
【A】G(x)=x3+x+1
【B】G(x)=x3+x2+1
【C】G(x)=x4+x+1
【D】G(x)=x4+x3+1
在这里插入图片描述

我的理解:这里记住过一个寄存器有出现异或门,x的指数就加1;输入是x^0次方开始
所以选C

在这里插入图片描述

15.亚稳态状态是必须避免的,亚稳态现象可以导致如下后果()
【A】降低系统可靠性
【B】其它都是
【C】功耗损失
【D】引起芯片失败
解析:由于输出在稳定下来之前可能是毛刺、振荡、固定的某一电压值,因此亚稳态除了导致逻辑误判之外,输出0~1之间的中间电压值还会使下一级产生亚稳态(即导致亚稳态的传播),所以A选项正确;对于CMOS来说,输出0~1的中间电压值时可能处于NMOS和PMOS都导通的状态(即短路状态),这个时候流过MOS管的电流是很大的(远远大于输出0或者输出1状态时的电流值),所以亚稳态的传播可能会造成功耗损失,C选项正确;亚稳态会导致逻辑功能错误,严重的亚稳态传播会导致芯片无法正常工作,最终导致芯片失败,所以D选项正确,所以选择B。
正确答案:B

16.如下图,对时钟到输出时间分析正确的是()
【A】tCO=Data_Delay-Clock_Delay+Micro_Tco
【B】tCO=Clock_Delay-Data_Delay+Micro_Tco
【C】tCO=Data_Delay+Clock_Delay+Micro_Tco
【D】tCO=Data_Delay+Clock_Delay-Micro_Tco
在这里插入图片描述

解析:利用静态时序分析的知识,时钟到输出的时间分析时间为C选项,所以我们得到C选项正确。

在这里插入图片描述
正确答案:C

17.以下说法错误的是()
【A】异步时序电路的状态变化不是同时发生的,它没有统一的信号脉冲,输入信号的变化就能引起状态的变化
【B】Moore型电路的输出仅与电路的现态有关
【C】同步时序电路的状态只在统一的信号脉冲控制下才同时变化一次,如果信号脉冲没有到来,即使输入信号发生变化,电路的状态仍不改变
【D】Mealy型电路的输出仅是输入变量的函数

解析:异步时序电路是电路中触发器的时钟输入端没有接在统一的时钟脉冲上,或电路中没有时钟脉冲(如SR锁存器构成的时序电路),电路中各存储单元的状态更新不是同时发生,所以A选项正确;Moore型电路输出仅与电路的现态有关,Mealy型输出不仅和当前状态有关而且和输入有关,所以B选项正确,同时D选项错误;同步时序电路中存储电路状态的转换是在同一时钟源的同一脉冲边沿作用下同步进行的,所以C选项正确。
正确答案:D

18.编写Verilog HDL程序时,变量的定义不可以与关键词冲突()
【A】正确
【B】错误
解析:注意在编写Verilog HDL程序时,变量的定义不能与关键词相同。
正确答案:A

19.为了保证验证过程的效率,需要想到什么马上就验证什么()
【A】正确
【B】错误
解析:验证过程是需要制订完整和系统的测试方案来进行全面的验证。
正确答案:B

20.以下描述错误的是()
【A】触发器按结构形式分为:基本RS触发器、时钟RS触发器、主从结构触发器、边沿触发器等
【B】触发器按功能分有:RS触发器、JK触发器、D触发器、T触发器等
【C】触发器是能够记忆一位二值信号的基本逻辑单元,是构成各种数字系统的基本逻辑单元
【D】触发器都有保持和反转功能
解析:按逻辑功能不同分为:RS触发器、D触发器、JK触发器、T触发器。按触发方式不同分为:电平触发器、边沿触发器和脉冲触发器。按电路结构不同分为:基本RS触发器和钟控触发器。按存储数据原理不同分为:静态触发器和动态触发器;按构成触发器的基本器件不同分为:双极型触发器和MOS型触发器,所以选项A和选项B正确;触发器能够存储1位二值信号的基本单元电路,所以选项C正确;例如,D触发器是一种最简单的触发器,在触发边沿到来时,将输入端的值存入其中,并且这个值与当前存储的值无关,D触发器并没有翻转的功能,D选项错误。

21.异步FIFO读写地址编码常采用独热码,以便于读写地址跨异步时钟域处理()
【A】正确
【B】错误
解析:用格雷码
正确答案:B

22.两个同源时钟之间可能是同步时钟,也可能是异步时钟()
【A】正确
【B】错误
B
同源时钟是指由同一个时钟源产生的时钟信号。同源时钟一定是同步时钟,但同步时钟不一定同源。

23.形式验证技术需要外界提供测试向量和时钟激励()
【A】正确
【B】错误
解析:验证有动态验证和静态验证,动态验证需要外界提供测试向量和时钟激励,而形式验证技术属于静态验证,使用基于数学变换的静态分析来确定硬件或软件行为的正确性,不需要外界提供测试向量和时钟激励。
正确答案:B

24.下列跨时钟域设计存在问题的是()
【A】FIFO设计地址跨时钟域,可以通过格雷码转换+打2拍方式
【B】希望得到C = A & B,A、B在clkx域,C在clky时钟域,则单独用clky对A和B分别打2拍产生Adly2和Bdly2,然后再让C = Adly2& Bdly2
【C】单bit数据跨时钟域,在目的时钟域打3拍后使用,打拍过程中不带组合逻辑
【D】一组数据线跨时钟域,如果数据有足够的持续不变时间,可以通过握手机制实现同步化
解析:希望得到C = A & B,A、B在clkx域,C在clky时钟域,则先在clkx域进行A & B的运算,然后将结果在clky域打两拍处理得到C。
正确答案:B

25.异步时钟数据采样的方法错误的是()
【A】使用FIFO隔离进行多bit采样
【B】高频时钟直接采样低频时钟的多bit数据
【C】单bit高频时钟脉冲展宽后给低频时钟进行采样
【D】握手信号后再采样
解析:多bit信号采样一般采用异步FIFO的方法,A正确;跨时钟域即便是高频时钟采样低频时钟也不可以直接采样,直接采样可能会造成亚稳态的传播,严重影响电路的性能,B错误;低频时钟采样高频信号,必须先对高频信号进行展宽处理,否则采样不完全,会丢失数据,C正确。异步时钟数据采样可以采用握手的方法,D正确。
正确答案:B

26.(1)(2)所描述的电路功能是否相同?哪种描述综合结果面积较小?()
【A】相同,(2)面积小
【B】不同,没法比较面积
【C】相同,(1)面积小
【D】相同,面积与信号a、b的位宽有关
(1)

if(select == 1'b1)
    sum <= a+b;
    else
       sum <= c+d;

(2)

if(select == 1'b1) begin
    temp1 <= a;
    temp2 <= b;
    end
    else begin
    temp1 <= c;
    temp2 <= d;end
    assign sum = temp1 + temp2;

解析:(1)和(2)综合出来的电路如下图所示(使用quartus II软件),显然两个电路的面积不一定相同,(1)相对(2)多了加法器,(2)相对于(1)增加了数据选择器。
在这里插入图片描述在这里插入图片描述
正确答案:D

27.表示任意两位无符号十进制数需要()位二进制数
【A】7
【B】8
【C】6
【D】5
解析:最大的两位无符号十进制数是99,介于2-1和2-1之间,需要用7位二进数表示。
正确答案:A

28.随机测试不具有目标性,我们在验证中不应该使用随机测试,应该全部采用直接测试激励()
【A】正确
【B】错误
解析:这里的直接测试指的是定向测试。在验证中应该采用随机测试与定向测试相结合的方法,随机测试更快,去发现大部分的漏洞,定向测试更有目标性,去验证随机测试很难覆盖到的部分漏洞。
正确答案:B

29.下面两段代码中in、q1、q2和q3的初值分别为0、1、2、3,那么经历1个时钟周期后,左侧q3的值和右侧q3的值分别变成了()
【A】0,0
【B】0,3
【C】2,0
【D】0,2

always @(posedge clk) begin
    q1 = in;
    q2 = q1;
    q3 = q2;
end 
always @(posedge clk) begin
    q1 <= in;
    q2 <= q1;
    q3 <= q2;
 end

解析:“=”是阻塞赋值,当clk的上升沿到来时,in的值赋给q1,然后q1的值赋给q2,然后q2的值赋给q3。最终结果q3等于in的值,为0。“<=”是非阻塞赋值,当clk的上升沿到来时,in的值赋给q1,同时q1的值赋给q2,同时q2的值赋给q3。最终结果q3等于q2的值,为2。
正确答案:D

30.十六路数据选择器的地址输入端至少需要几根线()
【A】2
【B】4
【C】16
【D】8
解析:十六路数据选择器有16个输入,所以需要16个地址,最少需要4根线(一根线最多表示2个地址,四根线最多表示2个地址)
正确答案:B

31.乒乓buffer可以提高系统的数据吞吐量,提高系统的处理并行度()
【A】正确
【B】错误
解析:使用乒乓Buffer的主要作用是使用多个低速的数据预处理模块处理高速的输入数据流。这样做可以提高系统的数据吞吐量(如果不使用乒乓的话数据预处理模块会成为设计中限制系统数据吞吐量的瓶颈),同时增加了数据缓冲延迟。另一个解释:所谓乒乓buffer,也就是定义两个buffer,当有数据进来的时候,负责写入buffer的进程就寻找第一个没有被占用而且可写的buffer,进行写入,写好之后,将占用flag释放,同时设置一个flag提示此buffer已经可读,然后再接下去找另外一个可写的buffer,写入新的数据。而读入的进程也是一直对buffer状态进行检测,一旦发现没有被占用,而且已经可以被读,就把这个buffer的数据取出来,然后标志为可写,所以A选项正确;
正确答案:A

32.组合逻辑电路的逻辑冒险现象是由于()引起的
【A】电路有多个输出
【B】逻辑门类型不同
【C】电路未达到最简
【D】电路中存在延时
解析:竞争冒险产生的原因 (1)门电路的传输延迟时间有差异。在门电路中,信号从一个电平向相反方向跳变时,并不是突变的。由于上升(或下降)时间的存在,可能产生竞争冒险。在TTL系列的集成电路中,一般门电路的延迟时间在15ns左右;在4000系列集成电路中,一般门电路的延迟时间为100ns左右;(2)输入信号经过的传输路径或门不同,造成到达输出级的时间有先有后,使同一个输入信号的变化通过多条途径传输,并再次在某个门电路汇合(收敛)时,变化先后导致输出产生的额外“毛刺”,所以D选项正确。
正确答案:D

33.下面不属于时钟基本要素的是()
【A】clock tree
【B】skew
【C】clock period
【D】duty cycle(占空比)
解析:时钟的时序特性主要分为时钟周期( clock period)、时钟占空比( clock duty cycle)、时钟转换时间(clock transition time)、时钟延迟(clock latency)、时钟偏斜(clock skew)和时钟抖动(clock jitter)。clock tree是时钟树,在数字后端需要进行时钟树综合,不是时钟的属性,所以得到A选项错误。
正确答案:A

34.从后端设计考虑,在必须使用门控时钟的时候,需要遵循一个原则:门控时钟的输出只能跟着时钟信号进行跳变,而不能跟着控制信号进行跳变,也就是说对于用NAND Gate或者AND Gate实现的门控时钟,控制信号只能在时钟的低电平处进行跳变;对于用OR Gate或者NOR Gate实现的门控时钟,控制信号只能在时钟的高电平处跳变()
【A】正确
【B】错误

解析:上升沿有效的clk
对上升沿有效的 clk,使用与门进行门控,ena 控制信号只能在时钟低电平处跳变,这样才能保证时钟的完整
在这里插入图片描述在这里插入图片描述
在clk高电平区间,只要en变化,输出的data_clk也会跟着变化,因此会带来毛刺或者时钟不完整问题。所以在clk高电平区间,ena要保持稳定。

35.假设一个3bit计数器(计数范围0~6)工作在36MHz时钟域下,要把此计数器的值传递到另一异步100MHz时钟域,以下方式不正确的是()
【A】锁存+握手信号
【B】使用DMUX电路
【C】使用格雷码
【D】使用异步FIFO
解析:如上图所示,两个时域之间通过请求和应答信号线进行握手,时域A发送请求发送数据信号req,同时是准备好数据;时域B接收到时域A发送的请求信号后,回应一个应答信号ack,同时将数据接收进行寄存;时域A接收到应答信号后重新发送请求信号req,进行第二个数据传输,依次直到完成时域A数据发送完成。但是要注意程序设计,不正确的程序设计将会发生数据漏取(快时域到慢时域)或者插入数据(慢时域到快时域),所以A选项正确。

在这里插入图片描述

DMUX同步器:对于多bit的data信号,还可以使用使能技术,也就是通过一个使能信号来判断data信号是否已经稳定,当使能信号有效的时候说明data处于稳定状态,在这种情况下终点寄存器才对信号进行采样,可以保证没有setup/hold违例。而使能信号一般使用double FF的方法来进行同步。下面是DMUX的同步示意图,所以B选项正确;
在这里插入图片描述

图片来自博客园博主ID:love小酒窝
格雷码的应用问题,也许会想到能不能先把数据变成格雷码,然后再通过双D触发器同步过去呢?如果计数器计数0到7,那么是可以的,因为相邻两个数都是只有一位不同;但是如果计数0到6,那么从计数6(格雷码为101)到计数0(格雷码为000),格雷码有两位不同,这个时候就不能再用双触发器的方法了。所以C选项错误。
无论是快到慢,还是慢到快,FIFO通吃。FIFO的设计需要注意FIFO空满信号产生问题、格雷码的应用问题、深度选择问题等,所以D选项正确。
正确选项:C

36.下面有关SRAM和DRAM的叙述,正确的有()
【A】DRAM比SRAM速度快
【B】DRAM不要刷新,SRAM需要刷新
【C】DRAM比SRAM成本高
【D】DRAM存储单元的结构比SRAM简单

解析:图是现代计算机典型的存储体系结构,从图中我们发现SRAM的速度比DRAM快,A选项错误;DRAM使用的是一个晶体管和一个电容结构(1T1C)存储单元需要每隔一段时间来刷新一次数据,SRAM使用的6个晶体管(6T)结构,不需要刷新数据,因此B选项错误,D选项正确;DRAM的成本比SRAM的成本低,C选项错误。
在这里插入图片描述
37.以下触发器不是按功能分类的是()
【A】JK触发器
【B】边沿触发器
【C】RS触发器
【D】D触发器
解析:觖发器按逻辑功能分类:RS触发器、JK触发器、D触发器、T触发器、T/触发器,所以B选项错误。
正确选项:B

38.时钟的占空比指的是()
【A】时钟的变化范围
【B】低脉冲的持续时间与脉冲总周期的比值
【C】时钟的变化速度
【D】高脉冲的持续时间与脉冲总周期的比值
解析:工作周期(英语:Duty Ratio,Duty Cycle)是频射、微波电路、低频交流和直流电流等多个领域的一个概念,表示在一个周期内,工作时间与总时间的比值,有多个具体定义方式。脉冲信号高电平持续的时间(称为脉冲宽度)与脉冲周期的比值,称为脉冲的工作周期,所以D选项正确。
正确选项:D

39.在异步FIFO设计中,满信号由读时钟产生,空信号由写时钟产生()
【A】正确【B】错误
解析:满信号是在写时钟域产生,空信号是在读时钟产生
正确选项:B

1.关于状态机编码,如下描述中正确的是()
【A】状态编码用parameter定义
【B】状态机必须有default态
【C】用组合逻辑和时序逻辑分离的风格描述FSM
【D】用case语句描述状态的转移
解析:状态机一般需要定义default态,但是对于case状态完全的状态机,可以不用default态,所以B错误;其他选项均正确。
正确答案:ACD

2.业界常用的验证方法学有()
【A】SystemC
【B】UVM
【C】SystemVerilog
【D】VMM
解析:业界常用的验证方法学有VMM(Verification Methodology Manual)、OVM(OpenVerification Methodology)和UVM(Universal Verification Methodology);SystemC和SystemVerilog不是验证方法学,是语言!
正确答案:BD

3.逻辑电路的动态功耗一般跟以下哪个因素相关()
【A】电压
【B】频率
【C】工艺
【D】工作温度
解析;动态功耗=开关功耗+短路功耗;开关功耗=CLVDDf,其中CL为负载电容,VDD为电源电压,f为翻转频率(翻转率*频率),显然,电压、频率与开关功耗有关;短路功耗=tscVDDIpeakf,其中tsc代表短路状态的时间,Ipeak代表短路状态时的电流,工艺和工作温度会影响晶体管的性能,进而影响短路状态时的电流和短路状态的时间,所以会影响短路功耗。所以,电压、频率、工艺、工作温度都会影响动态功耗!
正确答案:ABCD

4.下列哪些项是异步处理需要考虑的因素()
【A】异步信号的电平或脉冲特性
【B】异步信号是不是总线
【C】两个异步时钟时间的频率关系
【D】异步信号是不是寄存器输出
解析:异步处理,对于单比特数据,如果是电平信号,可以用多级触发器来实现跨时钟域;如果是脉冲信号(必须由寄存器输出),则(1)慢时钟域到快时钟域,先用多级触发器进行同步,再用边沿检测电路即可得到相应的脉冲信号(2)快时钟域到慢时钟域,先将脉冲信号展宽,再同步到慢时钟域,再用边沿检测将信号转化为脉冲信号。
参考答案:ACD

5.下列不属于分解测试点时的关注点的是()
【A】性能
【B】无充分理由的揣测
【C】偶然的设计失误
【D】可测性
【E】功能
解析:验证空间是一个无限集合,我们不可能细化到所有的元素,这就需要我们在分解时重视一些主要的关注点,同时忽略掉一些不必要的非关注点,测试点主要关注:
a)功能的正确;
b)功能、性能点的覆盖;
c)发现设计错误;
d)边界点,错误值;
e)对组合输入的处理考虑不周的地方;
f)根据经验普遍容易存在问题的地方:FIFO、状态机;
g)测试点需要关注各种条件的组合和条件序列;
测试点不关注:
a)偶然的设计失误;
b)无充分道理的揣测;
参考答案:BC

  • 20
    点赞
  • 24
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
### 回答1: 海思数字IC是华为公司旗下的半导体芯片品牌,也是国内领先的芯片设计制造商之一。海思数字IC的笔试题目涵盖了计算机体系结构、数字电路设计、信号处理等多个方面的知识。 在笔试题中,可能会涉及到数字逻辑设计的基本理论和实践,例如布尔代数、逻辑门、时序电路等内容。此外,还可能会考察与芯片设计相关的数据结构与算法知识,如树、图、排序算法等。 另外,海思数字IC的笔试题还会关注到计算机体系结构方面的知识,例如指令集、流水线、缓存等内容。此外,由于海思数字IC主要用于通信领域,可能还会考察与信号处理相关的知识,包括滤波器设计、时频分析、数字信号处理等。 总之,海思数字IC的笔试题目涵盖了芯片设计中的多个方面,考察了应聘者对数字电路设计、计算机体系结构和信号处理等知识的掌握程度。准备参加海思数字IC的笔试,需要对这些知识有深入的理解,并能够灵活运用到实际的问题中。 ### 回答2: 海思数字IC笔试题通常涉及数字电路设计、逻辑设计、计算机体系结构、信号处理等方面的内容。以下是一个例子题目: 题目:设计一个4位计数器,要求每1秒顺序计数0、1、2、3、0、1、2、3……,并使用Verilog语言实现。 解答: Verilog代码如下: module counter ( input clk, output reg [3:0] count ); always @ (posedge clk) begin if (count == 3) count <= 0; else count <= count + 1; end endmodule 该代码定义了一个模块counter,它有一个时钟输入clk和一个4位输出count。使用always块来描述计数器的行为,当时钟上升沿到来时,计数器递增。当count等于3时,归零重新开始计数。 这样,每1秒钟,count会循环计数0、1、2、3,然后重新从0开始计数。 以上是一个对海思数字IC笔试题的回答,具体题目可能有所不同,但一般都和数字电路设计、逻辑设计等相关,需要结合具体题目进行回答。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值