Verilog的几个简单语法(assign、always等)

1、wire表示的是线网类型,如:wire temp; // 定义temp为线网类型
reg是寄存器变量,如:reg [7:0] Q; // 定义Q为8位寄存器变量
注:wire声明的信号只代表连接线,不会保持值。所以赋值的时候称连续赋值,用assign完成,即wire对应于assign;
但是由reg声明的信号却有两种情况,一种是真正的寄存器,另一种只是声明成reg实际也是不能保持值的。即:在always块里面:(1)如果敏感列表是时钟,则reg所声明的是真正的寄存器;
(2)如果敏感列表是信号,则reg所声明的只是线网型信号。
即reg对应于过程赋值语句,如:always、initial

  • 3
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值