QUartus-II三种方式实现D触发器及时仿真

本文详细介绍了在Quartus-II环境下,通过输入原理图和Verilog语言两种方式实现D触发器的设计,并进行了时序仿真。首先,通过创建工程、绘制原理图和设置波形文件,演示了使用基本逻辑门实现D触发器的步骤。接着,利用Verilog语言编写D触发器的代码,并创建测试 bench 进行仿真验证。最后,总结了整个过程,提供了清晰的操作指南。
摘要由CSDN通过智能技术生成

Quartus输入原理图及时序仿真

创建工程

①File - New Project Wizard在这里插入图片描述
②天天写工程名称还有所在位置
在这里插入图片描述
③选择芯片在这里插入图片描述
④点击next在这里插入图片描述
⑤点击finish在这里插入图片描述

创建方框文件

①选择New
在这里插入图片描述
②选择Block Diagram/Schematic File
在这里插入图片描述
③选择nand2,2个输入的与非门,再添加四个and 和一个非门not
在这里插入图片描述

④添加完成在这里插入图片描述
⑤选择连线工具
在这里插入图片描述
⑥完成后如下图所示

  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值