Verilog例化时传递参数,例化格式

例化时传递参数,例化格式

在例化模块时可以改变模块中parameter的值。

例如:module

module adder_16(
	input a,
	input b,
	output sum
);
parameter time_delay = 0;
parameter time_count = 0;
/*
code here
*/
endmodule

例化它:

adder_16 #(4,9) ADD0(
	.a(in1),
	.b(in2),
	.sum(out1)
);

例化的规则:

<module name> #(parameter1 , parameter2 , ...) <instance name>(
			.module port1 (instance port1),
			.module port2 (instance port2),
			......
			.module portN (instance portN)   //注意,这里没有逗号
);
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值