奇偶分频-verilog

//奇偶分频
module fre_div(
	input  clk,
	input  rst_n,
	output div_clk
    );
	 
	 reg div_clk1_odd;
	 reg div_clk2_odd;
	 reg div_clk3_even;
	 reg [2:0]cnt;
	 
	 always @ (posedge clk or negedge rst_n)
	 begin
		if(!rst_n)
			cnt <= 1'b0;
		else if (cnt==(div-1))
			cnt <= 1'b0;
		else
			cnt <= cnt+1'b1; 
	 end
	 
	 always @ (posedge clk or negedge rst_n)
	 begin
		if(!rst_n)
			div_clk1_odd <= 1'b0;
		else if (cnt == 3'd0)
			div_clk1_odd <= ~div_clk1_odd;
		else if (cnt == (div-1)/2)
			div_clk1_odd <= ~div_clk1_odd;
		else
			div_clk1_odd <=  div_clk1_odd;	
	 end
	 
	 always @(posedge clk or negedge rst_n)
	 begin
		if(!rst_n)
			div_clk2_odd <= 1'b0;
		else 
			div_clk2_odd <= div_clk1_odd;
	 end

	always @ (posedge clk or negedge rst_n)
	begin
		if(!rst_n)
			div_clk3_even <= 1'b0;
		else if (cnt == 3'd0)
			div_clk3_even <= ~div_clk3_even;
		else if (cnt == div/2)
			div_clk3_even <= ~div_clk3_even;
		else
			div_clk3_even <=  div_clk3_even;	
	end

	assign div_clk = (div%2)==0 ? div_clk3_even : (div_clk1_odd)| (div_clk2_odd);
	
endmodule
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

马志高

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值