【牛客】VL65 状态机与时钟分频

该篇文章详细描述了如何使用状态机在Huawei7模块中实现四分频时钟分频功能,通过状态转移控制clk_out信号,使其占空比为0.25,同时提供了波形示例以帮助理解实现过程。
摘要由CSDN通过智能技术生成

描述

题目描述:

使用状态机实现时钟分频,要求对时钟进行四分频,占空比为0.25

信号示意图:

在这里插入图片描述

clk为时钟
rst为低电平复位
clk_out 信号输出
Ps 本题题解是按照1000的状态转移进行的,不按照此状态进行,编译器可能报错但没有影响。

波形示意图:

在这里插入图片描述

输入描述:

clk为时钟
rst为低电平复位

输出描述:

clk_out 信号输出

`timescale 1ns/1ns

module huawei7(
	input wire clk  ,
	input wire rst  ,
	output reg clk_out
);

//*************code***********//

reg [2:0] state_r = 3'd0, state_s;

always @(posedge clk, negedge rst) begin
	if (~rst) begin
		state_r <= 3'd0;
	end else begin
		state_r <= state_s;
	end
end

always @(*) begin
	case (state_r)
	0: state_s = 1;
	1: state_s = 2;
	2: state_s = 3;
	3: state_s = 0;
	default: state_s = 0;
	endcase
end

always @(posedge clk, negedge rst) begin
	if (~rst) begin
		clk_out <= 1'b0;
	end else begin
		case (state_s)
		default: clk_out <= 1'b0;
		1: clk_out <= 1'b1;
		endcase
	end
end

//*************code***********//
endmodule
  • 7
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

wjh776a68

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值