Modelsim编译出现#Error loading design#的几种解决方案

安装问题和工程问题在别的问题贴都提到很多,给大家分享一下我的采用的几种解决方案:

代码问题

1. 检查tb文件中的代码端口是否改为wire型

...
module test_tb
(
   input wire a,
   output wire b
);
...

2. 检查实例名与tb文件名一致,如文件名为test_tb.vt则实例名为test_tb,很重要!

3. module与实例名之间的空格有时会导致出现#Error loading design#。

软件自动优化问题

找到modelsim的安装路径,找到modelsim.ini

使用文本编辑器打开后编辑(去掉只读属性)

找到VoptFlow = 1

改为VoptFlow = 0,关闭它的自动优化功能,保存退出(恢复只读属性)

重新打开软件即可。

本文仅供参考。

  • 4
    点赞
  • 46
    收藏
    觉得还不错? 一键收藏
  • 4
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值