Verilog数字系统设计——任务和函数一(斐波那契数列)

Verilog数字系统设计九

任务和函数实验1



前言

随着人工智能的不断发展,机器学习这门技术也越来越重要,很多人都开启了学习机器学习,本文就介绍了机器学习的基础内容。

提示:以下是本篇文章正文内容:
1 分别用任务和函数实现斐波纳契数列求职;
2 斐波纳契数列递归的方法定义如下:F(0)=0,F(1)=1,F(n)=F(n-1)+F(n-2);

一、任务和函数的区别?

示例:pandas 是基于NumPy 的一种工具,该工具是为了解决数据分析任务而创建的。

二、编程

1.要求:

1 分别用任务和函数实现斐波纳契数列求职;
2 斐波纳契数列递归的方法定义如下:F(0)=0,F(1)=1,F(n)=F(n-1)+F(n-2);

2.设计思路:

3.采用任务方法实现:

代码如下:

module Fibonacci_task(din,dout);
  input [3:0] din;
  output reg [7:0] dout;
  always@(din)
	FibonacciT(din,dout);
 task FibonacciT(input [3:0] n ,output [7:0] result);
    reg [7:0] f1;
    reg [7:0] f2;
    integer i;
    if(n==0)
      result=0;
    else if(n==1)
      result=1;    
    else
    begin
      f1=0;
      f2=1;
      for(i= n;i>1;i=i-1)
      begin  
        result = f1 + f2;
        f1=f2;
        f2=result;
      end
    end
  endtask
endmodule

测试代码如下:

module Fibonacci_task_tb;
  reg [3:0] din_t;
  wire[7:0] dout_t; 
  initial
  begin
    din_t=0;
  end
  always #10 din_t=din_t+1;
  Fibonacci_task myFibonacci_task(
    .dout(dout_t),
    .din(din_t));
endmodule


仿真波形如下:
在这里插入图片描述

4.采用函数方法实现:

代码如下:

module Fibonacci_func(dout,din);
  input [3:0] din;
  output reg[7:0] dout;
  always@(din)
  dout=fib(din);
 
  function [7:0] fib;
    input [3:0] n;
    reg [7:0] f1;
    reg [7:0] f2;
    integer i;
      begin
        if(n==0)
          fib=0;
        else if(n==1)  
          fib=1;
	      else
		    begin
          f1=0;
          f2=1;
          for(i= n;i>1;i=i-1)
          begin  
            fib = f1 + f2;
            f1=f2;
            f2=fib;
          end
        end
      end
  endfunction
endmodule


测试代码如下:

module Fibonacci_func_tb;
  reg [3:0] din_t;
  wire[7:0] dout_t; 
  initial
  begin
    din_t=0;
  end
  always #10 din_t=din_t+1;
  Fibonacci_func myFibonacci_func(
    .dout(dout_t),
    .din(din_t));
endmodule

仿真波形如下:

在这里插入图片描述

总结

提示:
以上就是今天要分享的内容,本文仅仅简单介绍了用Verilog的函数和任务实现斐波那契数列。

  • 0
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值