verilog实现 斐波那契数列的生成

斐波那契数列的当前数值等于前两个数值相加之和,即Xn=Xn-1+Xn-2;
数据为0,1,1,2,3,5,8,13,21,34…

module fibonacci_number(
                        input           clk,
                        input           rst_n,
                        input  [15:0]   number_eth,         //要求出的斐波那契数的排号
                        output          number_valid,       //生成完成
                        output [15:0]   number              //生成的数字
                        );

reg [15:0]  previous_value;         //前一个值
reg [15:0]  current_value;          //后一个值
reg         number_valid_r;         //数值有效信号
reg [15:0]  cnt;                    //计数器


assign  number=current_value;
assign  number_valid=number_valid_r;
always@(posedge clk or negedge rst_n)
if(!rst_n)
    begin
       previous_value<=16'd0;
       current_value<=16'd1;
       cnt<=16'd0;
       number_valid_r<=1'b0;
    end
else
    begin
        if(cnt==number_eth-16'd2)
  • 1
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值