作业:Nios-II编程入门之流水灯

Nios-II编程入门之流水灯

前言

学习 Quartus 、Platform Designer、Nios-II SBT 的基本操作;初步了解 SOPC 的开发流程,基本掌握 Nios-II 软核的定制方法;掌握 Nios-II 软件的开发流程,软件的基本调试方法。

项目创建

1、建立Quartus项目

选择芯片:EP4CE115F29C7

图片1在这里插入图片描述

2. Qsys设计

进入Platform Designer界面

图片2在这里插入图片描述

设置时钟主频

图片3在这里插入图片描述

添加Nios-II Processor

图片4在这里插入图片描述

图片5在这里插入图片描述

添加JTAG

图片6
在这里插入图片描述

连线

图片7
在这里插入图片描述

添加RAM

图片8
在这里插入图片描述

连线

图片9在这里插入图片描述

添加PIO

图片10在这里插入图片描述

连线

图片11
在这里插入图片描述

Quartus设计

添加原理图文件

图片12在这里插入图片描述

加入bsf文件

图片13在这里插入图片描述

右键生成IO端口,设置led端口为out_led

图片14在这里插入图片描述

设置引脚

图片15在这里插入图片描述

Nios-II Eclipse设计

进入Nios-II Eclipse

图片16在这里插入图片描述

设置工作空间
在这里插入图片描述

图片17

新建项目

图片18在这里插入图片描述

图片19在这里插入图片描述

代码替换

#include "system.h"
#include "altera_avalon_pio_regs.h"
#include "alt_types.h"
#include "stdio.h"
const alt_u8
led_data[8]={0x01,0x02,0x04,0x08,0x10,0x20,0x40,0x80};
int main (void)
{
 int count=0;
 alt_u8 led;
 volatile int i;
 while (1)
 { if (count==7)
 {count=0;}
 else
 {count++;}
 led=led_data[count];
 IOWR_ALTERA_AVALON_PIO_DATA(PIO_LED_BASE, led);
 i = 0;
 printf("Hello Nios-II\n");
 while (i<500000)
 i++;
 }
 return 0;
}

编译运行
在这里插入图片描述

图片20

心得体会

本次实验从0开始实现NIOS-II的流水灯项目,使我初步认识了NIOS-II项目的创建流程,让我学的了我之前没有的新知识,受益良多。在实验过程中,出现了很多问题,但是通过互联网得以解决,锻炼了自己的自我解决问题的能力。

  • 2
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值