如何使用vpd来dump波形

        fsdb是经常用来dump波形,但是使用的时候可能也会存在一些层次需要特殊设置才能dump,这样就会导致对于一些特殊情况较难处理, 但是vpd波形是vcs自带的,所以对于某些情况就特别好用,将以下命令存在dump_vpd.do的脚本中,然后通过在仿真过程中使用ucli将脚本feed进去,这样就会dump相应的波形了,这样的好处就是可以指定相应的层次,而且是在仿真的时候feed进去的,也就意味着不用再编译了。

dump -add { dut_st.my_dut}  -depth 0 -scope "."    #dump dut相应层次的波形,0代表从上到下全部                    
                                                   #dump,如果为1就只dump dut_st.my_dut这一层

dump -add {test_case} -depth 0 #dump 验证平台的波形

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值