HLS入门

一. HLS是什么?与VHDL/Verilog编程技术有什么关系?

高层次综合 (High-Level Synthesis, HLS) 是一种将高级编程语言(如C/C++、SystemC)描述的算法转换为硬件描述语言(HDL,如VHDL或Verilog)的过程。这使得硬件设计者能够使用熟悉的高级语言编写算法,系统自动将其转换为硬件电路。

与VHDL/Verilog编程技术的关系:

  • HLS工作在更高的抽象层次。设计者可以使用高级语言描述系统行为,HLS工具负责生成硬件描述。
    • VHDL/Verilog工作在较低的抽象层次。设计者需要明确地定义硬件结构和行为,涉及寄存器、时钟、逻辑门等细节。
  • HLS提高了设计效率。设计者可以更快速地迭代和优化算法,而不需要深入硬件细节。
    • VHDL/Verilog:虽然设计过程更复杂和耗时,但可以获得更高的硬件资源利用率和性能优化。
  • HLS提供了一定程度的自动优化,但在特定应用场景下可能不如手工编写的HDL代码高效。
    • VHDL/Verilog设计者可以对硬件进行细粒度的控制和优化,适用于对性能和资源有严格要求的设计。

二. HLS有哪些关键技术问题?目前存在什么技术局限性?

关键技术问题

  • 代码转换与优化:如何将高级语言描述的算法高效地转换为硬件描述,并进行必要的优化,如流水线、并行处理等。
  • 存储器和通信管理:高效管理数据存取和通信,以充分利用硬件资源。
    处理存储器带宽限制和数据依赖问题。
  • 控制和数据流图 (CDFG) 的生成与优化:将高级语言的控制和数据流图转化为硬件电路。处理复杂的控制逻辑和数据路径优化。
  • 自动化验证:提供有效的验证方法,确保从高级语言到硬件描述的转换过程中不引入错误。需要支持综合前后的验证一致性。

技术局限性:

  • 性能和资源利用率:尽管HLS工具不断改进,但在某些应用场景下,手工优化的VHDL/Verilog代码在性能和资源利用率上可能仍优于自动生成的代码。
  • 设计复杂性:对于具有复杂控制逻辑或高度并行的数据路径的设计,HLS工具可能难以生成高效的硬件描述。某些高级语言特性在硬件中实现起来较为困难。
  • 工具链的成熟度和支持:不同的HLS工具在支持高级语言特性和硬件优化方面存在差异,工具链的成熟度和稳定性影响设计结果。
  • 调试和验证:HLS设计的调试和验证相比传统HDL设计更为复杂,需要跨多个抽象层次进行调试。

三、下载Vivado(如Vivado2019.1)

链接:link
提取码:czl9

  1. 点击xsetup.exe进入安装
    在这里插入图片描述
  2. next->continue 到这个页面 三个都勾选I Agree
    在这里插入图片描述
  3. 选中第二个 next
    在这里插入图片描述
  4. 选中图上这几个,next
    在这里插入图片描述
  5. 更改安装路径,next
    在这里插入图片描述
  6. 等待安装完成
    在这里插入图片描述
  7. 这时候找到我们准备好的License文件就好了,没有的可以在这下载:链接:link
    提取码:kfjt
    下载解压后是一个这个文件
    在这里插入图片描述
    点击Copy Lisence,再选择这个文件就破解成功了
    在这里插入图片描述

四、创建工程

在这里插入图片描述
在这里插入图片描述
一路next到这
在这里插入图片描述
点右边那一列三个点选器件
在这里插入图片描述

五、添加相关文件

①添加源文件
在这里插入图片描述
点击Source,右键后,选择New File,创建文件
led.h

#ifndef _SHIFT_LED_H_
#define _SHIFT_LED_H_

#include "ap_int.h"
#define CNT_MAX 100000000
//#define CNT_MAX 100,100M时钟频率下计数一秒钟所需要的计数次数
#define FLASH_FLAG CNT_MAX-2
// typedef int led_t;
// typedef int cnt_t;
typedef ap_int<1> led_t;
typedef ap_int<32> cnt_t;
void flash_led(led_t *led_o , led_t led_i);

#endif

led.cpp

#include "led.h"

void flash_led(led_t *led_o , led_t led_i){
#pragma HLS INTERFACE ap_vld port=led_i
#pragma HLS INTERFACE ap_ovld port=led_o
	cnt_t i;
	for(i=0;i<CNT_MAX;i++){
		if(i==FLASH_FLAG){
			*led_o = ~led_i;
		}
	}
}

②添加仿真测试文件
右键Test Bench,选择New File
test_led.cpp

#include "led.h"
#include <stdio.h>
int main(){
    led_t led_i=0x01;
    led_t led_o;
    const int SHIFT_TIME = 4;
    int i;
    for(i=0;i<SHIFT_TIME;i++){
        flash_led(&led_o , led_i);
        led_i = led_o;
        printf("shift_out is %d \n",(int)(led_o&0x01));
	}
}

六、C仿真与C综合

①点击project->project settings->synthesis->browser->选择顶层函数
在这里插入图片描述
②点击project->Run C Simulation(输出01交替,表示C仿真结果正确)
在这里插入图片描述
在这里插入图片描述

五、创建Vivado工程

①打开Vivado,选择Create Project
在这里插入图片描述
next到这个页面,更改项目名称和存储位置
在这里插入图片描述
在这里插入图片描述
next到这个页面
在这里插入图片描述
在这里插入图片描述

六、导入HLS生成的IP核

①生成IP核
在Vivado HLS
选择Solution->Export RTL
在这里插入图片描述
②导入
点击setting
选择IP->Repository,并且点击加号,选择solution,将会自动识别到IP,识别到后,点击Apply->OK
在这里插入图片描述
在这里插入图片描述
③添加实验代码
i
在这里插入图片描述
在这里插入图片描述
④添加代码

`timescale 1ns / 1ps
module flash_led(
input wire clk ,
input wire rst_n ,
output wire led_o
);
 
wire rst ;//同步复位
wire ap_ready ;//当前可以接收下一次数据
reg ap_start ;//IP 开始工作
reg led_i_vld ;//输入数据有效
wire led_o_vld ;
reg led_i ;//输入的 led 信号
wire led_o_r ;
wire ap_done ;
wire ap_idle ;
reg [1:0] delay_cnt ;
assign rst = ~rst_n ;
assign led_o = led_o_r ;
 
//----------------delay_cnt------------------
always @(posedge clk) begin
if (rst==1'b1) begin
delay_cnt <= 'd0;
end
else if(delay_cnt[1]==1'b0) begin
delay_cnt <= delay_cnt + 1'b1;
end
end
 
//----------------ap_start------------------
always @(posedge clk) begin
if (rst==1'b1) begin
ap_start <= 1'b0;
end
else if(delay_cnt[1]==1'b1)begin
ap_start <= 1'b1;
end
end
 
//----------------led_i_vld------------------
always @(posedge clk) begin
if (rst==1'b1) begin
led_i_vld <= 1'b0;
end
else if(delay_cnt[1]==1'b1)begin
led_i_vld <= 1'b1;
end
end
 
//----------------ap_i------------------
always @(posedge clk) begin
if (rst==1'b1) begin
led_i <= 1'b0;
end
else if(led_o_vld==1'b1)begin
led_i <= led_o_r ;
end
end
 
 
flash_led_0 inst_flash_led (
.led_o_V_ap_vld(led_o_vld), // output wire led_o_V_ap_vld
.led_i_V_ap_vld(led_i_vld), // input wire led_i_V_ap_vld
.ap_clk(clk), // input wire ap_clk
.ap_rst(rst), // input wire ap_rst
.ap_start(ap_start), // input wire ap_start
.ap_done(ap_done), // output wire ap_done
.ap_idle(ap_idle), // output wire ap_idle
.ap_ready(ap_ready), // output wire ap_ready
.led_o_V(led_o_r), // output wire [0 : 0] led_o_V
.led_i_V(led_i) // input wire [0 : 0] led_i_V
); 
endmodule

⑤创建约束文件
在这里插入图片描述
⑥填写相关文件信息并生成
在这里插入图片描述

##############LED define################## 
set_property PACKAGE_PIN P15 [get_ports {led_o}] 
set_property IOSTANDARD LVCMOS33 [get_ports {led_o}]

##############Reset define################## 
set_property PACKAGE_PIN P16 [get_ports {rst_n}] 
set_property IOSTANDARD LVCMOS33 [get_ports {rst_n}]

##############50M CLK define################## 
create_clock -period 20.000 -name clk -waveform {0.000 10.000} [get_ports clk]
set_property PACKAGE_PIN N18 [get_ports {clk}] 
set_property IOSTANDARD LVCMOS33 [get_ports {clk}]

⑦进行下载
点击Open Hardware Manager->Open target->Auto target后,显示如下
在这里插入图片描述
点击Program device,下载程序,直接点击Program
在这里插入图片描述
⑧结果展示
在这里插入图片描述

  • 5
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Vivado HLS是一款可以将C语言代码转换为硬件描述语言的工具,使开发者可以在FPGA开发中无需了解硬件语言也能参与其中。你可以按照以下步骤简单使用Vivado HLS: 1. 打开Vivado HLS软件。你可以在Ubuntu系统中通过在命令行输入vivado_hls打开该软件。 2. 创建工程。你可以在Vivado HLS中创建一个新的工程,设置文件名和存放路径。 3. 编写C语言代码。在工程中,你可以编写你的C语言代码,这些代码将被转换成硬件描述语言。 你可以参考Vivado HLS C入门详解教程以及其提供的代码示例进行学习和测试。 4. 进行综合和优化。Vivado HLS会将你的C代码综合成硬件电路,并进行一些优化以提高性能。 5. 配置目标平台和约束。你可以选择目标平台和设置约束,以便生成适合特定硬件设备的设计。 6. 进行验证和调试。你可以使用Vivado HLS提供的仿真工具进行验证和调试你的设计。 7. 导出和集成。一旦你对设计满意,你可以将生成的硬件描述文件导出到Vivado Design Suite中进行进一步的综合、实现和验证。 请根据你的具体需求参考以上步骤,开始使用Vivado HLS进行FPGA开发。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *3* [基于Ubuntu16.04 下的 Vivado HLS 入门教程-创建工程 (应用于AX7021开发板)](https://blog.csdn.net/qq_18649781/article/details/85246514)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] - *2* [Vivado HLS 教程.pptx](https://download.csdn.net/download/weixin_42576437/12583622)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值