[verilog]ALU的实现

 

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date:    16:44:32 03/29/2018 
// Design Name: 
// Module Name:    alu 
// Project Name: 
// Target Devices: 
// Tool versions: 
// Description: 
//
// Dependencies: 
//
// Revision: 
// Revision 0.01 - File Created
// Additional Comments: 
//
//
module alu(
input  signed	 [31:0]	alu_a,
input  signed	 [31:0]	alu_b,
input	          [4:0]   alu_op,
output  reg		 [31:0]	alu_out
);

parameter	A_NOP	= 5'h00;	
parameter	A_ADD	= 5'h01;
parameter	A_SUB	= 5'h02;
parameter	A_AND = 5'h03;
parameter	A_OR  = 5'h04;
parameter	A_XOR = 5'h05;
parameter	A_NOR = 5'h06;


always@(*)
begin
case (alu_op)
	A_NOP:alu_out = 32'b0;
	A_ADD:alu_out = alu_a + alu_b;
	A_SUB:alu_out = alu_a - alu_b;
	A_AND:alu_out = alu_a & alu_b;
	A_OR :alu_out = alu_a | alu_b;
	A_XOR:alu_out = alu_a ^ alu_b;
	A_NOR:alu_out = alu_a ~^ alu_b;
endcase
end
	

endmodule

 

下面写一个top模块进行实例化

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date:    16:45:31 03/29/2018 
// Design Name: 
// Module Name:    top 
// Project Name: 
// Target Devices: 
// Tool versions: 
// Description: 
//
// Dependencies: 
//
// Revision: 
// Revision 0.01 - File Created
// Additional Comments: 
//
//
module top(
input 	 [31:0] a,
input  	 [31:0] b,
output    [31:0] out
    );

wire [31:0] c;
wire [31:0] d;
wire [31:0] e;

alu al0(a,b,5'h01,c);
alu al1(b,c,5'h01,d);
alu al2(c,d,5'h01,e);
alu al3(d,e,5'h01,out);

endmodule

 

  • 8
    点赞
  • 49
    收藏
    觉得还不错? 一键收藏
  • 5
    评论
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值