远程FPGA虚拟实验平台用SystemVerilog HDL实现流水灯和移位寄存器

远程FPGA虚拟实验平台用SystemVerilog HDL实现流水灯和移位寄存器

原理

流水灯

本实验中,流水灯仅供学习,此处对照代码察看实验图,代码详解见注释,根据代码可知流水灯实现左移。
在这里插入图片描述

/** The input port is replaced with an internal signal **/
wire reset = PB[0];
wire clk   = PB[1];
/************* The logic of this experiment *************/
logic [7:0]q;
always @ (posedge clk or posedge reset)//注意这里在reset前需要加入上升沿判断,不加的话就是混用了
	if (reset)//由于判断条件中是上升沿,所以此处需要在reset=1时进行赋值,这是对应的
		q <= 1;//q作为一个八位的数,当q被赋值1时实际上是00000001
	else
		q <= {
   q[6:0], q[7]};//此处代码意为:新的q'<={q[6],q[5],q[4],q[3],q[2],q[1],q[0],q[7]},于是原本为1的q[0]被移到了新的q'的q[1]位置,实现左移
/****** Internal signal assignment to output port *******/
assign L[7:0] = q[7:0];
endmodule

移位寄存器

  • 14
    点赞
  • 27
    收藏
    觉得还不错? 一键收藏
  • 5
    评论
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值