Quartus计数器仿真实验

本文详细介绍了使用Quartus进行变模循环计数器的RTL设计,展示了扫描生成的电路RTL图,并通过波形仿真截图验证了计数器的正确工作。同时提供了计数器的源代码。
摘要由CSDN通过智能技术生成

1、RTL设计图
这里写图片描述

2、Quartus扫描生成的电路RTL图
电路RTL图

3、计数器的波形仿真截图
这里写图片描述
这里写图片描述

4、计数器代码

module count(
  RST   , // 异步复位, 高有效
  CLK   , // 时钟,上升沿有效
  CNTVAL); // 输出的计数值信号

input RST  , CLK  ;
output [3:0] CNTVAL; 
reg [3:0] CNTVAL, CNT_MAX_VAL = 6;

always @(posedge CLK 
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值