Vivado2019.1 下BITSTREAM CONFIG SPI_BUSWIDTH 设置笔记

vivado2019.1下

由于开发artix 7时需要使用SPIx4进行配置FPGA时,故作此记录。

方法1:

使用原语法,

在Language Template中查看XDC部分的例句:
set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design]

复制此语句到工程使用的xdc约束文件中即可。

 

方法2:

需要在完成Implementation 后,在Project Manager下点击setting,跳出

点击蓝色字:Configure additional bitstream setting:

修改Bus width即可。
      
    

  • 13
    点赞
  • 43
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
vivado 2019.1是Xilinx公司推出的一款综合设计环境软件,用于开发可编程逻辑器件(例如FPGA或SoC)的设计和实现。vivado 2019.1经过了许多改进和更新,包括性能提升、新增功能和修复bug等方面。 vivado 2019.1license是用于激活vivado软件的许可证。购买vivado软件后,用户需要安装并运行vivado软件,并且使用许可证来激活软件。许可证是一种授权文件,用于确认用户是否有权使用该软件。 使用vivado 2019.1之前,用户需要先获取vivado 2019.1license。一般情况下,用户可以在购买vivado软件后通过Xilinx公司的官方网站在线注册,并下载许可证文件。也可以通过在线激活系统来获取许可证,具体获取方式可以参考Xilinx公司提供的文档。 获得vivado 2019.1license后,用户需要将许可证文件导入到vivado软件中。在vivado软件安装和运行过程中,会有相应的提示和界面来指导用户导入许可证文件。用户只需按照指示操作,选择正确的许可证文件并导入,即可成功激活vivado 2019.1软件。 vivado 2019.1license通常会有一定的有效期,用户在激活软件后需要注意许可证的有效期限。如果许可证过期,用户需要重新更新许可证才能继续使用vivado软件。 总而言之,vivado 2019.1license是用于激活vivado 2019.1软件的许可证,用户需要获得有效的许可证并将其导入到软件中才能正常使用。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值