Verilog variable select group of bits from a vector

Verilog-2001 adds the capability to use variables to select a group of bits from a vector.

◆ The starting point of the part-select can vary.

◆ The width of the part-select remains constant.


reg [63:0] word;

reg [3:0] byte_num; //a value from 0 to 7

wire [7:0] byteN = word[byte_num*8 +: 8];


The byte_num*8 is the starting point of the part-select.

Constant 8 is the width of the part-select.

+: indicates the part-select increases from the starting point.

-: indicates the part-select decreases from the starting point.


Example:

1)

reg [1023:0] a;

reg [7:0] shift;

wire [9:0] shift_number;

always @ (*) begin : shift_proc
    integer i;
    shift = 8'h0;
    for (i=0; i<=1016; i++) begin
        if(i == shift_number[9:0])
            shift = a[i+:8];
    end
end

2)

reg [1023:0] a;

reg [7:0] shift;

wire [9:0] shift_number;

always @ (*) begin : shift_proc
    integer i;
    shift = 8'h0;
    for (i=7; i<=1023; i++) begin
        if(i-7 == shift_number[9:0])
            shift = a[i-:8];
    end
end


  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值