Verilog 整数分频

本文介绍了如何使用Verilog实现偶数倍和奇数倍分频。对于偶数倍分频,通过计数器在达到分频值一半时翻转输出时钟。奇数倍分频则需要利用上下沿触发,结合非50%占空比的两个分频信号进行或运算,以达到50%占空比的奇数分频。并提供了具体的Verilog代码示例和modelsim仿真波形。
摘要由CSDN通过智能技术生成
  • 偶数倍分频

偶数倍的分频实现还是比较容易的,可以通过计数器的方法来实现。

比如进行N倍偶数分频时,那么可以通过由待分频时钟来触发计数器进行计数,当计数器从0计数到N/2-1时,输出时钟进行翻转,同时计数器清零,依次循环。

这种方法可以实现任意的偶数分频,电路也不复杂。

其偶数分频verilog如下,这里分频倍数为8:

module Odd_Div(
					 input clk_i,
					 input rst_n,
					 output reg clk_o
);

reg [2:0] cnt   ;

parameter N = 8 ;  //N为分频倍数   偶数分频

always @(posedge clk_i or negedge rst_n)
	begin
		if(~rst_n)
			begin	
				cnt <= 1'b0;
				clk_o <= 1'b0;
			end
		else
			begin
				if(cnt==N/2-1)
					begin
						clk_o <= ~clk_o;
						cnt <= 1'b0;
				   end
				else 
					cnt <= cnt + 1'b1;
			end
	end
	
endmodule

testbeach文件如下:

`timescale 1ns/1ps 
 
module Odd_Div_tb;
 
    reg   rst_n  ;
    reg   clk    ;
 
   Odd_Div U_CLK_DIV8(
        .clk_i(clk),
        .rst_n(rst_n),
  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值