异步复位同步释放

异步复位同步释放,最好要结合PLL的输出locked信号。


module rst(
	input locked,
	input clk,
	input ext_rst_n,
	output reg rst_n
);

	reg rst_reg0, rst_reg1;
	wire rst_n_combine;
	
	assign rst_n_combine = ext_rst_n & locked;
	
	always@(posedge clk, rst_n_combine)begin
		if(rst_n_combine == 1'b0)begin
			rst_reg0 <= 1'b0;
			rst_reg1 <= 1'b0;
		end
		else begin
			rst_reg0 <= 1'b1;
			rst_reg1 <= rst_reg0;
		end
	end
	
	assign rst_n <= rst_reg1;
	
endmodule


  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值