【官方修复】VIVADO 2018.3 [Synth 8-439]module ‘<hls IP submodule>‘ not found

开门见山

        如果是工程模式下遇到此问题,是早期版本VIVADO对HLS的支持问题。官方社区有补丁包icon-default.png?t=N7T8https://support.xilinx.com/s/article/76960?language=zh_CN

        如果是非工程模式下遇到此问题,则是因为需要手动编译相关C文件,Tcl Console中执行

compile_c [get_ips sdi_v_tpg_0_1]

详细过程

        在Vivado 2018.3中使用了由HLS生成的IP “Video Test Pattern Generator”,综合的时候报错:[Synth 8-439] module 'sdi_v_tpg_0_1_v_tpg' not found。
        展开相应的Block,确实没有文件~但是这是官方提供的IP,怎么会没有相应的文件呢?在高版本的VIVADO中并没有遇到这个问题。官方论坛上有相关的讨论。icon-default.png?t=N7T8https://support.xilinx.com/s/article/70400?language=zh_CN

        总结下来就是VIVADO本应该自动运行compile_c来生成HLS相关的IP文件,但是并没有!

        那我们自己手动运行一下是不是就可以解决问题了?以下是在Tcl Console中输入的代码,其中“sdi_v_tpg_0_1”就是报错信息中提到的综合失败的module。注意,不是not found那个module。

        运行之后,相应文件有了,综合成功了。并没有!Message中显示的错误没有变,那到Tcl Console中找一找~

ERROR: [IMPL 213-28] Failed to generate IP.
command 'ap_source' returned error code
    while executing
"source [lindex $::argv 1] "
    ("uplevel" body line 1)
    invoked from within
"uplevel \#0 { source [lindex $::argv 1] } "

        出现了!“【IMPL 213-28】Failed to generate IP” ,到官方社区搜索,看到相关讨论帖,有同志提到了一个patch,并给出了链接。

Export IP Invalid Argument / Revision Number Overflow Issue (Y2K22) (xilinx.com)

        打上补丁,确实修复了这个问题。注意:使用管理员模式打开命令提示行,然后切换路径至Xilinx安装目录,然后执行Python脚本,当然,你需要安装Python。

  • 20
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
我了解到你提到了一些关于Vivado构建过程中的错误信息和步骤。具体来说,你提到了错误指令"synth 8-2715"。根据引用和引用,在遇到这个错误时,你可以尝试点击"Run Synthesis"或选择正确的"Top module"来解决这个问题。 此外,根据引用所述,Vivado构建过程包括合成设计(synth_design)、优化设计(opt_design)、布局布线(place_design)、物理优化(phys_opt_design)和布线(phys_opt_design)等步骤。每个步骤都有不同的指令或策略,这可能导致一个庞大的搜索空间。 所以,针对你提到的"vivado synth 8-2715"错误,你可以尝试点击"Run Synthesis"或选择正确的"Top module"。如果问题依然存在,你可能需要仔细检查并按照Vivado构建过程的步骤进行操作,确保每个步骤都正确执行。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* [Vivado synth 8-439 module““not found问题绝对解决,超简单,想解决问题就看这里。](https://blog.csdn.net/weixin_52904845/article/details/124640006)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] - *3* [vivado-impl-scripts:有助于自动尝试实施策略和约束,增量优化以及诸如首先路由关键网络之类的脚本](https://download.csdn.net/download/weixin_42114645/16510786)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值