关于VHDL的IF,CASE语句用法

0.0.0最近期末复习,开始学习了一遍EDA,感觉好像不是很难的样子,但是比较繁琐,总有各种各样的小细节需要注意。
由于下午就要考试了所以我就写一点点内容,权当复习了0.0

先讲if语句吧

IF 条件句 THEN
	顺序语句;
END IF;
第一种if语句用于门闩控制,就只有一个条件句,是最简单的if语句
----------
IF 条件句 THEN
	顺序语句;
ELSE 
	顺序语句;
END IF;
第二种if语句多用于二选一电路,也很简单啦
----------
IF 条件句 THEN
	顺序语句;
ELSLF 条件句 THEN 
	顺序语句;
	.....(这里可以有很多elsif语句)
ELSE(最后一句是ELSE,要注意)
	顺序语句;
END IF;
第三种多用于多选择的控制,这里一定一定要注意是"**ELSIF**"而不是ELSEIF
----------
IF 条件句 THEN
	IF 条件句 THEN
	顺序语句;
	END IF;
END IF;
第四种是if语句嵌套使用,多用于多个情况组合判断,例如clk电路
------------------------
IF 条件句 THEN
	顺序语句;
END IF;
IF 条件句 THEN
	顺序语句;
END IF;
第五种是if语句并行执行

case语句也很常用

[标号:]CASE 多值表达式 IS
	WHEN 选择值 => 被赋值变量 <=赋值变量;
	WHEN 选择值 => 被赋值变量 <=赋值变量;
	WHEN 选择值 => 被赋值变量 <=赋值变量;
	...
	WHEN OTHERS =>被赋值变量 <=赋值变量;
	END CASE

还有选择信号赋值语句

WITH 选择表达式 SELECT
被赋值变量  <="赋值变量1" WHEN "选择值1",
			<="赋值变量2" WHEN "选择值2",
			<="赋值变量3" WHEN "选择值3",
...
			<="赋值变量n" WHEN OTHERS;

这里注意一下每句结尾是","而最后一句结尾是";"

剩下的想到了来补充

  • 6
    点赞
  • 77
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

冉冰

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值