verilog 基础原理1 - Testbench的initial中的时间节点的判断

testbench

initial begin: TEST1

@(posedge s1);

$display("start to get data");

@(posedge s2);

@(posedge clk);

$display("The data is %h", data_in);

end

说明:s1的上升沿,s2的上升沿以及对应的clk的第二个时钟上升沿实际上是在一个时间点上,因此打印出的信息是

start to get data

The data is d1

若以上代码改写为:

initial begin: TEST1

@(posedge s1);

$display("start to get data");

#2; //加一个小延时,小于一个clk周期

@(posedge s2);

@(posedge clk);

$display("The data is %h", data_in);

end

则打印出来的信息为:

start to get data

The data is d2

  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值