Verilog综合是wire和reg如何防止被优化

Abstract
撰寫Verilog時,雖然每個module都會先用ModelSim或Quartus II自帶的simulator仿真過,但真的將每個module合併時,一些不可預期的『run-time』問題可能才一一浮現,這時得靠SignalTap II來幫忙debug。

Introduction
使用環境:Quartus II 8.0 + DE2-70 (Cyclone II EP2C70F896C6N)

實際使用SignalTap II時,會發現有些reg與wire可以觀察,有些又無法觀察,在(原創) 如何使用SignalTap II觀察reg值? (IC Design) (Quartus II) (SignalTap II) (Verilog)中,我利用將reg接到top module的方式來觀察reg,雖然可行,但老實說並不是很好的方式。當初有網友發表評論,說這是因為reg被Quartus II優化掉不見了,導致無法使用SignalTap II觀察,本文整理出完整的reg與wire觀察方法。

觀察reg
如同(原創) 如何使用SignalTap II觀察reg值? (IC Design) (Quartus II) (SignalTap II) (Verilog)的範例,我再重複一次。

SSignalTapII_register_not_preserve.v / Verilog

复制代码
1  /*  
2  (C) OOMusou 2008  http://oomusou.cnblogs.com
3 
4  Filename    : SignalTapII_register_not_preserve.v
5  Compiler    : Quartus II 8.0
6  Description : Demo how to preserve register with SingalTap II
7  Release     : 10/17/2008 1.0
8  */
9 
10  module  SignalTapII_register_not_preserve (
11  input  iCLK,
12  input  iRST_N
13  );
14 
15  reg  [ 3 : 0 ] cnt;
16 
17  always @( posedge  iCLK,  negedge  iRST_N)  begin
18  if  ( ! iRST_N)
19      cnt  <=   4 ' h0;
20  else
21      cnt  <=  cnt  +   4 ' h1;
22  end
23 
24  endmodule
复制代码


這是個很簡單的計數器,我故意讓cnt不做output,而想用SignalTap II去觀察cnt這個reg的值。

signaltap2_reg0

cnt都是0,顯然不合理,表示SignalTap II無法capture cnt這個reg的值。為什麼會這樣呢?

若我們將SignalTap II拿掉,重新用Quartus II編譯,觀察其compilation report,顯示register為0

signaltap2_reg1

觀察RTL Viewer的合成結果,真的沒有register!!

signaltap2_reg2

這證明了一件事情,Quartus II在合成時,發現cnt並沒有需要output,而自動最佳化不合成cnt,導致SignalTap II無法觀察reg,不過有時為了debug方便,我們就是想觀察這種reg,有辦法讓Quartus II暫時不要啟動最佳化嗎?

使用Synthesis Attribute避免最佳化

SignalTapII_register_preserve.v / Verilog

复制代码
1  /*  
2  (C) OOMusou 2008  http://oomusou.cnblogs.com
3 
4  Filename    : SignalTapII_register_preserve.v
5  Compiler    : Quartus II 8.0
6  Description : Demo how to preserve register in SignalTap II
7  Release     : 10/17/2008 1.0
8  */
9 
10  module  SignalTapII_register_preserve (
11  input  iCLK,
12  input  iRST_N
13  )
14 
15  reg  [ 3 : 0 ] cnt  /* synthesis noprune */ ;
16 
17  always @( posedge  iCLK,  negedge  iRST_N)  begin
18  if  ( ! iRST_N)
19      cnt  <=   4 ' h0;
20  else
21      cnt  <=  cnt  +   4 ' h1;
22  end
23 
24  endmodule
复制代码


15行

reg  [ 3 : 0 ] cnt  /* synthesis noprune */ ;


多了/*synthesis noprune*/這個synthesis attribute,指示Quartus II不要對cnt做最佳化,保留此register以供SignalTap II觀察注意必須寫在分號前面,不能如下寫在分號後面

reg  [ 3 : 0 ] cnt; /* synthesis noprune */ //錯!!


編譯後,SignalTap II就能順利的觀察到cnt的值!!重點是不需改top module的interface,只需對想觀察的reg加上synthesis attribute即可。

signaltap2_reg3

Quartus II也支援Verilog 2001的語法

复制代码
1  /*  
2  (C) OOMusou 2008  http://oomusou.cnblogs.com
3 
4  Filename    : SignalTapII_register_preserve.v
5  Compiler    : Quartus II 8.0
6  Description : Demo how to preserve register in SignalTap II
7  Release     : 10/17/2008 1.0
8  */
9 
10  module  SignalTapII_register_preserve (
11  input  iCLK,
12  input  iRST_N
13  );
14 
15  //  Verilog 2001
16  // (*noprune*) reg [3:0] cnt;
17 
18  always @( posedge  iCLK,  negedge  iRST_N)  begin
19  if  ( ! iRST_N)
20      cnt  <=   4 ' h0;
21  else
22      cnt  <=  cnt  +   4 ' h1;
23  end
24 
25  endmodule
复制代码


16行

( * noprune * reg  [ 3 : 0 ] cnt;


這是Verilog 2001的語法,Quartus II 8.0也能看得懂。

若希望整個module的reg都不被最佳化,可將synthesis attribute放在module。

复制代码
1  /*  
2  (C) OOMusou 2008  http://oomusou.cnblogs.com
3 
4  Filename    : SignalTapII_register_preserve.v
5  Compiler    : Quartus II 8.0
6  Description : Demo how to preserve register in SignalTap II
7  Release     : 10/17/2008 1.0
8  */
9 
10  module  SignalTapII_register_preserve (
11  input  iCLK,
12  input  iRST_N
13  /* synthesis noprune */ ;
14 
15  reg  [ 3 : 0 ] cnt;
16 
17  always @( posedge  iCLK,  negedge  iRST_N)  begin
18  if  ( ! iRST_N)
19      cnt  <=   4 ' h0;
20  else
21      cnt  <=  cnt  +   4 ' h1;
22  end
23 
24  endmodule
复制代码


13行

module  SignalTapII_register_preserve (
input  iCLK,
input  iRST_N
// );
/* synthesis noprune */ ;


將/*synthesis noprune*/放在module,這樣整個module的reg將不被最佳化,不用再一一指定。

另外一個與reg相關的Synthesis Attribute:/*synthesis preserve*/
跟reg相關的attribute,除了/*synthesis noprune*/可用,還有一個/*synthesis preserve*/可用,兩者的差異在於:

/*synthesis noprune*/ 避免Quartus II優化掉沒output的reg。

/*synthesis preserve*/ 避免Quartus II將reg優化為常數,或者合併重複的reg。

也可以使用Verilog 2001的寫法

// (*preserve*) reg [3:0] cnt;


或者整個module的寫法

module  SignalTapII_register_preserve (
input  iCLK,
input  iRST_N
/* synthesis preserve */ ;
Verilog 中,wire 和 reg 是两种常用的变量类型,用于在硬件描述中表示信号和数据。下面是关于这两种类型的一些基本信息: 1. wire:wire 是一种连续赋值的变量类型,用于表示连续的信号。它通常用于连接模块中的不同部分或模块之间的信号传输。wire 可以用于输出端口、内部连线和顶层模块的输入端口。它不保存状态,只表示当前电路中的值。在 wire 类型中,信号可以被多个驱动器驱动。 2. reg:reg 是一种时序赋值的变量类型,用于表示存储数据的寄存器。它可以用于存储状态、计数器和其他需要在时间上保持一致性的数据。reg 只能在始终块(always block)中赋值,因为它们需要时钟触发或特定的事件来更新值。 需要注意的是,wire 和 reg 在 Verilog 中并不直接对应于硬件中的线和寄存器。它们只是一种抽象概念,用于表示信号和数据的不同语义。 下面是一个简单的例子,展示了 wire 和 reg 的使用: ``` module example(input a, input b, output y); wire w1, w2; reg r1, r2; assign w1 = a & b; // wire 连续赋值 assign w2 = r1 | r2; always @(posedge clk) begin r1 <= w1; // reg 时序赋值 r2 <= w2; end assign y = r1 ^ r2; endmodule ``` 在这个例子中,w1 和 w2 是 wire 类型的变量,r1 和 r2 是 reg 类型的变量。w1 和 w2 通过与或门的逻辑运算来计算,而 r1 和 r2 在时钟上升沿时被更新。 希望这个例子可以帮助你理解 wire 和 reg 的用法。如果你有更多问题,请随时提问!
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值