Lattice系列FPGA入门相关6(理解SerDes之1)

需求说明:Lattice系统FPGA入门

内容       :FPGA接口模块SerDes讲解

来自       :时间的诗


网站:http://blog.sina.com.cn/s/blog_aec06aac01013m5g.html

 

FPGA发展到今天,SerDes(Serializer-Deserializer)基本上是标配了。从PCI到PCI Express, 从ATA到SATA,从并行ADC接口到JESD204, 从RIO到Serial RIO,…等等,都是在借助SerDes来提高性能。SerDes是非常复杂的数模混合设计,用户手册的内容只是描述了森林里面的一棵小树,并不能够解释SerDes是怎么工作的。SerDes怎么可以没有传输时钟信号?什么是加重和均衡?抖动和误码是什么关系?各种抖动之间有什么关系?本篇小文试着从一个SerDes用户的角度来理解SerDes是怎么设计的, 由于水平有限,一定有不够准确的地方,希望对刚开始接触SerDes的工程师有所帮助。

Contents

1.     SerDes的价值... 1

1.1并行总线接口... 1

1.2 SerDes接口... 3

1.3 中间类型... 4

2. SerDes结构(architecture) 4

2.1串行器解串器(Serializer/Deserializer) 6

2.2发送端均衡器( Tx Equalizer) 8

2.3接收端均衡器( Rx Equalizer) 9

2.4时钟数据恢复(CDR) 13

2.5  公用锁相环(PLL) 16

2.6 SerDes编解码... 18

2.7 SerDes收发Driver及差分接口转换... 19

2.8 SerDes环回和调试... 19

3.抖动和信号集成( Jitter, SI )

  • 1
    点赞
  • 25
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值