UVM:3.4.1 设置打印信息的冗余度阈值

1.UVM通过设置冗余度级别提供了日志的可读性。如果小于等于阈值,就会显示,否则不会显示。默认的是UVM_MEDIUM。


2.get_report_verbosity_level:得到某个component 的冗余度阈值:

在my_driver中设置:



编译报错:


不知道怎么改。。先换到其他位置:


报告:


是 200。

含义是:



3.set_report_verbosity_level:设置某个特定的component 冗余度。


driver里面两个UVM_HIGH 的info。



base_test里设置为UVM_HIGH。仿真,两条都打印。改成UVM_MEDIUM,两条都不打印。

1)由于牵涉到层次引用,要在connect_phase即以后的phase才能调用。

2)如果不牵涉层次引用,如设置当前component的冗余度,可以在connect_phase之前调用


4.set_report_verbosity_level_hier:上一个只能设置一个component,这个可以设置其下所有components:


5.set_report_id_verbosity区分不同的iD冗余度阈值:


6.set_report_id_verbosity_hier:即设置递归,有设置ID:



7.还可以在命令行中设置冗余度:

<sim command> +UVM_VERBOSITY=UVM_HIGH 或者 <sim command> +UVM_VERBOSITY=HIGH。相当于把base_test 及其以下所有都设置为UVM_HIGH。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值