UVM 验证方法学之interface学习系列文章(三)进阶《含类参数化的interface》

前言

之前的文章,有讲述过通过config_db方式,向interface 进行传递数据的方法。有没有其它方法呢?可不可以直接将类,作为interface 参数化的入口呢?今天,不妨试试看。

正文

相信,亲自搭建过基于systemverilog 验证平台的同仁们,都有过这种感觉:基于UVM 的验证平台的推广,确确实实给我们芯片验证人员,减轻了太多太多的担子。让我们集中精力写具体case,而不过多的花费去调试平台的框架,避免踩踏各种代码编写带来的陷阱。而往往这些陷阱,解决起来并不是那么容易。事事都具有两面性,UVM封装的过于完美,也让我们对其内部感到两眼发黑。而其内部究竟是如何实现的,如果能发掘一下,毕竟对自己上手亲自搭建验证平台,受益匪浅。

言归正传,研究过UVM 源码的同学,是否记得,SystemVerilog已经开始支持使用参数化类编写泛型代码。如下:

问题来了:那么interface 可不可以 具有同样类似的形式呢?那样不就是直接可以找到了新的一条路走。当然,我们不否认 config_db 带来的方便性,肯定它的功劳。多个朋友,多条路。这里,也同样。

看下面的例子ÿ

  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

那么菜

你的鼓励和批评是我最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值