牛客verilog VL28 输入序列不连续的序列检测

`timescale 1ns/1ns
module sequence_detect(
	input clk,
	input rst_n,
	input data,
	input data_valid,
	output reg match
	);
reg [4:0] cs, ns;
parameter IDLE = 5'b00001;
parameter   s1 = 5'b00010;
parameter   s2 = 5'b00100;
parameter   s3 = 5'b01000;
parameter   s4 = 5'b10000;
always@(posedge clk or negedge rst_n)begin
	if(rst_n == 0)begin
		cs <= IDLE;
	end
	else begin
		cs <= ns;
	end
end
always@(*)begin
	case(cs)
		IDLE: if(!data_valid) ns = cs; else ns = data==0 ? s1 : IDLE;
		s1: if(!data_valid) ns = cs; else ns = data==1 ? s2 : s1;
		s2: if(!data_valid) ns = cs; else ns = data==1 ? s3 : s1;
		s3: if(!data_valid) ns = cs; else ns = data==0 ? s4 : IDLE;
		s4: if(!data_valid) ns = IDLE; else ns = data==0 ? s1 : IDLE;
		default: ns = IDLE;
	endcase
end
always@(*)begin
	if(cs == s4)
		match <= 1;
	else
		match <= 0;
end
/*always@(posedge clk or negedge rst_n)begin
	if(rst_n == 0)
		match <= 0;
	else if(ns == s4)
		match <= 1;
	else
		match <= 0;
end
*/
endmodule

经过实验,借用(75条消息) 牛客刷题<28>输入序列不连续的序列检测_三个刺客的博客-CSDN博客的解法四代码,发现状态机的状态转换组合逻辑,在此题中不能用非阻塞赋值,很奇怪,也许是我理解还不够深入

  • 2
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值