ncverilog和irun的区别

irun就是cadence verilog/vhdl最新的仿真命令。

  1. 最老的是ncvlog/ncvhdl、ncelab、ncsim三步式;
  2. ncverilog和irun类似,都可以理解是脚本命令;真实仿真,还是依赖三步式的命令。
    虽然原理,依然是三步式;但单命令方式,使用起来更简单。
    irun,可以认为就是三步式命令。所以支持的功能feature,都是完全一致的。这一行的话,是我自己理解的。。
  3. ncverilog已经过时,irun是主流。可以查看EDA工具,确认ncverilog就是irun的链接符号。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值