自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(28)
  • 资源 (8)
  • 收藏
  • 关注

原创 【EDA】SSTA中最慢路径与最快路径统计计算

当计算所有路径N的setup/hold worst path时,本质上是计算max(XN)和min(XN),每条路径延时分布为正态分布(SS、TT、FF),当前EDA工具根据路径N中的最大值来计算Fmax(hold与频率无关),但实际上应计算每条路径之间的相关性,根据上述公式计算得到跟真实的统计学意义上的Fmax。图1中(b)显示,当两条路径标准差为1和5时,假设的误差明显变大,假设更悲观。假设(X1,X2)为二元高斯随机向量,均值(μ1,μ2),标准差(σ1,σ2),相关系数ρ。

2024-06-10 19:30:27 456

原创 【IC】Low Power方法

通过激活选中的block进行memory划分。降低器件工作电压,但延时会显著增大。降低非关键路径的VDD和晶体管从尺寸。指令集最小化以实现更简单的编码和执行。减小操作次数从而降低硬件资源占用。使用low system时钟。使用静态电路代替动态电路。用于降低翻转率的数据编码。基于流水线、并行的架构。

2024-05-30 15:07:28 205

原创 【IC】partial good

partial good

2024-05-28 16:28:43 362

原创 【IC】良率模型-yield model

良率模型

2024-05-27 16:32:37 242

原创 【EDA】DAC 2012 benchmark Suite

链接如下:

2024-05-22 16:25:50 497

原创 【ML】现实世界中的Machine Learning:从芯片设计到LLM大语言模型

参考资料:

2024-05-22 15:02:57 111

原创 【数学】欧拉-拉格朗日方程

参考资料:

2024-05-22 10:19:13 301

原创 【python】输出print阈值设置

https://numpy.org/doc/stable/reference/generated/numpy.set_printoptions.html#numpy.set_printoptions

2024-05-17 17:44:49 151

原创 【python】python编译

python编译生成pyc

2024-05-17 17:44:00 169

原创 【tcl】生成圆形的方法

【代码】【tcl】生成圆形的方法。

2024-05-10 13:58:12 377

原创 【IC】Low Power Design Essentials--前言

低功耗设计

2024-05-09 16:39:21 307

原创 【IC】短路电流随负载变化关系

https://engineering.purdue.edu/~vlsi/courses/ee695kr/s2008/Lecture3.pdf

2024-05-08 19:53:49 208

原创 【分析】功耗架构师要求

Google功耗电源架构师职责:https://www.google.com/about/careers/applications/jobs/results/114594301058392774-asic-power-architect/

2024-05-08 10:27:29 195

原创 【python】TSP不同算法对比

TSP旅行商

2024-05-07 10:43:33 528

原创 【python】带起点和终点约束的TSP算法

TSP 起点 终点 约束

2024-05-06 19:34:08 371 1

原创 【Excel】Solver自动求解器

参考资料:

2024-04-29 11:51:43 193

原创 【统计】Excel中采样二元正态分布

二元正态分布采样

2024-04-23 19:39:33 249

原创 【统计】Excel生成二元正态分布PDF

Excel中生成二元正态分布的PDF,并可视化

2024-04-22 10:19:51 335

原创 【python】离线下载和安装包

离线安装包

2024-04-02 15:35:27 1120

原创 【HSpice】仿真mos管泄漏电流

仿真了mos管泄露电流。

2024-03-30 22:54:42 276

原创 【MOS】mos管不同电压下的导通电流温度特性

温度反转效应

2024-03-28 14:07:33 704

原创 【IDDQ】静态电流 Leakage Current

IDDQ

2024-03-25 17:03:08 891 2

原创 【HSpice】仿真反相器的延时delay

hspice 反相器延时仿真

2024-03-21 14:31:53 491

原创 【HSpice】仿真反相器的静态电流leakage current

Hspice静态电流仿真

2024-03-21 11:58:51 472

原创 【python】高精度的多元多项式分体拟合

实现三个特征的多项式分体拟合,极高精度

2024-03-14 20:26:25 452

原创 【Tcl】多线程并行提交任务

Tcl thread 多线程处理任务

2024-03-13 14:10:36 490

原创 【python】生成对数正态分布样本并画出PDF和CDF图

【代码】【python】生成对数生态分布样本并画出PDF和CDF图

2024-03-12 23:23:12 632

原创 【python】生成正态分布样本并画出PDF和CDF图

【代码】【python】生成正态分布样本并画出PDF和CDF图

2024-03-12 23:20:57 647

CCF芯片大会芯片知识集

关于芯片大会的模拟EDA、chiplet和学术新兴论坛的相关内容

2022-08-23

Altium designer 18- PCB Logo Creator

PCB LOGO creator, 支持AD 18,使用方法:下载完毕放置在logs或其他AD目录下,AD中运行脚本,选择文件,打开后load选择图片,选择层,运行,即可在AD中导入图片或logo。

2019-03-05

FPGA/ASIC高性能数字系统设计_part2

part2,一共两个part。《FPGA/ASIC高性能数字系统设计》是2011年1月1日电子工业出版社出版的图书,作者是李洪革。

2018-09-17

FPGA/ASIC高性能数字系统设计_part1

《FPGA/ASIC高性能数字系统设计》是2011年1月1日电子工业出版社出版的图书,作者是李洪革。 写的很好,分享给大家,高清PDF版。。。这是part1,一共part2

2018-09-17

区块链资料礼包

区块链技术及发展,腾讯区块链发展白皮书,中国区块链技术和应用发展白皮书

2018-02-03

求职简历集合

包括金融、咨询、银行、会计、快消、互联网、商科等简历模版,简单朴实,使用的时候按照自己情况进行替换或选取,切勿完全照抄。

2017-04-29

MSP430G2553 DAC+ADC 简单应用,用nokia 5110显示 CCS6.0编写

使用外扩的DAC8411,连线方式请看DAC8411的技术手册和代码里dac.c的定义。本代码实现功能是用稳压电源输入任意电压(0-3.6v)在dac输出端输出同样电压。同时NOKIA5110上同步显示真实电压.

2014-09-17

诺基亚5110显示屏 MSP430G2553实例程序 CCS6.0编写

诺基亚5110显示屏 MSP430G2553实例程序 CCS6.0编写 字模软件网上找,太多了 就叫zimo 还有,代码中设置汉字为12*16,所以在使用字模软件时字体必须小于五号字,否则无法正常显示.

2014-07-21

山东大学物理学院2010年基地班 力学 期末考试试题

山东大学物理学院2010年基地班 力学 期末考试试题 。。供之后的学弟学妹们参考.。。。。。。。。

2013-08-24

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除