三、vivado硬件调试

复制前一节创建的vivado工程,到一个新的文件夹下,文件夹取名为vivado_debug.
打开工程,展开IP Integrator,右键Open Block Design,打开 .bd文件。

这里写图片描述
选择 axi_gpio_0_GPIO 接口,右键选择MAKE Debug。
这里写图片描述
该接线已被标记为调试:
这里写图片描述
用同样的方法把下图的两个接口线也调试。
这里写图片描述
保存工程,单击Run Synthesis 对工程进行综合。
综合成功后会弹出
这里写图片描述
按图中所示选择,单击OK
这里写图片描述
现在Debug窗口中的Net都是unassigned(为赋值的),所以我们要添加Debug IP 核来连接这些Net。Debug窗口左侧set up Debug打开

单击下一步,会有几个Clock Domain项未定义,右键设置Clock Domain,如图
这里写图片描述
然后没有警告,下一步,复选框都要选上。
这里写图片描述
完成界面
这里写图片描述
保存工程,在Source窗口Constraints文件下会自动生成。xdc文件,和调试相关约束有关的文件类型。
在Flow Navigator中单击Generate Bitstream。这个过程相当漫长。
之后弹框都选yes,或Ok
最后将硬件设计导入SDK,不要关闭ivado。

  • 2
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Vivado SDK调试是一种联合调试方法,结合了Vivado和SDK两个工具来进行硬件和软件的调试。首先,在Vivado中搭建硬件系统,并添加调试IP,如ILA和VIO。可以使用Mark Debug来观察总线上的工作情况,使用ILA来观察特定信号的变化,使用VIO来查看和修改虚拟IO的状态。然后,保存工程并生成bitstream,将硬件导入SDK。在SDK中设置软件调试断点,并将比特流下载到FPGA中。回到Vivado界面,连接目标板,进入硬件调试界面。在调试界面中,可以设置触发信号和触发方式,启动循环触发,进行软件调试。通过点击波形窗口来更新硬件状态。总的来说,Vivado SDK调试提供了一种综合的调试平台,可以同时进行硬件和软件的调试。\[1\]\[2\]\[3\] #### 引用[.reference_title] - *1* [Vivado与SDK的联合调试方法-使用ILA](https://blog.csdn.net/qq_34322603/article/details/72854621)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* *3* [VIVADO和SDK的联合硬件调试](https://blog.csdn.net/yaoyaoshalou/article/details/90901663)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值