FPGA基础知识(八)vivado设计流程中的知识

背景:设计流程中反复看到不同的文件类型及操作。

目的:我们需要理解这些不同格式的文件都是什么作用,以及设计流程中每一步的意义。

目录

一、文件

1.1 TCL文件

1.2 XML文件

1.3  PS7_Init

1.4  BD file

二、 Debug流程


一、文件

1.1 TCL文件

Tcl (最早称为“工具命令语言”"Tool Command Language", 但是目前已经不是这个含义,不过我们仍然称呼它为TCL)是一种 脚本语言。 由John Ousterhout创建。 TCL很好学,功能很强大。TCL经常被用于 快速原型开发,脚本编程, GUI和测试等方面。

 

1.2 XML文件

  • 硬件描述语言,可用于生成FSBL与BSP。
  • 自动由vivado生成,输出到SDK
  • 包含PS的初始化信息与相应的外设与地址

1.3  PS7_Init

PS7的描述文件,用于configure相应的DDR,PLL,JTAG,外设

会创建相应的C,TCL,HTML文件

1.4  BD file

  • vivado创建
  • 是IP集成器的block design
  • 名字为<project>.bd
  • 包含XML,前体文件为MHS文件

什么文件会被用于定义Zynq的处理器?

IP Integrator,PS7_init,XML,BD

二、 Debug流程

具体见 UG 936:vivado programming and debugging

后续用到补充

  • 2
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

祥瑞Coding

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值