vivado各文件含义及部分操作区别

.xdc 管脚约束文件

.xci 定制ip产生的文件

.bd block design文件

 

参考链接:

https://blog.csdn.net/Buyi_Shizi/article/details/51658407?locationNum=9

 

 

1、ip catalog和ip integrator的关系:

如图

ip catalog中是zynq封装好的ip的路径,而ip integrator字面意思就是ip 集成,就是将各种添加的ip集合一下,生成一个BD文件,BD文件简单理解就是一个电路图,只不过BD文件只能添加ip。

 

2、BD文件弄好后,先generate output products,大概意思就是根据BD文件输出生成一个产品,然后create HDL wrapper,意思就是将刚才生成的产品生成一个硬件封装。

3、open block design和open synthesis打开的文件分别是什么?

open block design打开的就是ip集成的BD电路。

open synthesis打开是自己代码设计的电路

所以,implementation的作用就是将BD的电路和自己设计的电路整合起来连线,implementation之后的东西,才是真正的一个完整的实体电路。

  1. ILA和(*mark_debug = "true"*)有什么区别

简单理解,ILA是一种ip,根据需要可以添加到BD文件和自己写的文件中去,而(*mark_debug = "true"*)就直接在自己写的文件中加这句话,就不用增加ip了,这样就方便一点而已。

 

增加ip时候,add ip to block design和customize ip有什么区别

add ip to design就是将ila的ip加在BD中,而通过customize ip,就只是将ila这个ip模块加载到工程中,并没有去连接到电路中,要连接到电路中,通过实例化就可以了。

 

原文链接:http://www.ccjiyuan.net/a/90.html

 

 

 

 

  • 19
    点赞
  • 66
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Vivado中,有几种不同的文件类型,分别具有不同的含义和用途。 1. .dcp文件:它是一种Design Checkpoint文件,包含了一个设计的完整信息,包括网表文件和约束文件。在Vivado中,它被用来保存和共享设计的状态,以便在不同的阶段之间传递设计数据。 2. .xdc文件:这是Vivado的约束文件,与ISE中的约束文件.ucf或者.pcf有很大的不同。.xdc文件实际上是一系列的Tcl语句,用于描述设计的约束条件,如时序要求、引脚约束等。.xdc文件可以放置在工程中作为源文件,在综合和布局布线阶段调用;也可以在Tcl控制台中输入并立即执行。 3. .xci文件:这是由定制IP生成的文件,包含了定制的IP核的所有信息。可以通过这个文件产生需要的IP核。与.dcp文件类似,.xci文件也用来保存和共享设计的定制IP核的状态。 4. .rpt文件:这是每个过程结束时生成的一个报告文件,用于记录各个过程中的一些信息,如综合、布局、布线等过程的结果和统计数据。与ISE中的多种输出文件格式相比,.rpt文件的统一格式更加方便使用和查看。 综上所述,在Vivado中,.dcp文件包含了设计的完整信息,.xdc文件是约束文件,.xci文件是定制IP生成的文件,而.rpt文件是各个过程生成的报告文件。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [Vivado 不同文件含义](https://blog.csdn.net/weixin_44441263/article/details/119002631)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* *3* [vivado中各个文件含义](https://blog.csdn.net/kunkliu/article/details/116761717)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值