Vivado 仿真、综合、实现中报错:cannot open verilog file

问题描述

Vivado硬件调试后,当再次仿真时出现“cannot open verilog file”错误。在xvlog.log文件最后一行出现:

INFO: [VRFC 10-311] analyzing module ***
ERROR: [***] cannot open verilog file ***.

解决方案:

打开PROJECT MANAGER 中Source窗格,切换到Compile Order。在最上的选择框中选择出错的模式(仿真/综合/实现)、查看是否出现了不应该出现的编译文件(笔者的编译顺序中不知道为啥出现了同一个文件两次,第二次出现的该文件显示不能找到),如果有不需要的文件直接删除就可以,或者向其添加路径。
在这里插入图片描述

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值