FPGA基础入门篇(五) 八位全加器的实现,用时钟控制进位(触发器)

FPGA基础入门篇(六) 八位全加器的实现
实现八位全加其实很简单,是组合逻辑电路,不必使用时钟。但本次按照如下的要求来实现
要求:用D触发器控制进位,并且用一位全加器来设计八位全加器。

1.verilog 代码实现:

module addr(
clk,adder1,adder2,q,set,cout,cin
);
input [7:0]adder1,adder2;   
output[7:0]q;
input set;
output cout;
input cin;
input clk;

wire [7:0] x,y;
assign x=adder1;
assign y=adder2;

wire [7:0]s;
wire [7:1]D,Q;

assign q = s;

//module intance 八个一位全加器
full_adder_1bit uu1(.cin(cin),.x(x[0]),.y(y[0]),.cout(D[1]),.s(s[0]));
full_adder_1bit uu2(.cin(Q[1]),.x(x[1]),.y(y[1]),.cout(D[2]),.s(s[1]));
full_adder_1bit uu3(.cin(Q[2]),.x(x[2]),.y(y[2]),.cout(D[3]),.s(s[2]));
full_adder_1bit uu4(.cin(Q[3]),.x(x[3]),.y(y[3]),.cout(D[4]),.s(s[3]));
full_adder_1bit uu5(.cin(Q[4]),.x(x[4]),.y(y[4]),.cout(D[5]),.s(s[4]));
full_adder_1bit uu6(.cin(Q[5]),.x(x[5]),.y(y[5]),.cout(D[6]),.s(s[5]));
full_adder_1bit uu7(.cin(Q[6]),.x(x[6]),.y(y[6]),.cout(D[7]),.s(s[6]));
full_adder_1bit uu8(.cin(Q[7]),.x(x[7]),.y(y[7]),.cout(cout),.s(s[7]));

//七个触发器控制进位的产生,进位在下一个时钟到来时进位。
D_trigger uu22(.D(D[1]),.clk(clk),.Q(Q[1]),.set(set));
D_trigger uu33(.D(D[2]),.clk(clk),.Q(Q[2]),.set(set));
D_trigger uu44(.D(D[3]),.clk(clk),.Q(Q[3]),.set(set));
D_trigger uu55(.D(D[4]),.clk(clk),.Q(Q[4]),.set(set));
D_trigger uu66(.D(D[5]),.clk(clk),.Q(Q[5]),.set(set));
D_trigger uu77(.D(D[6]),.clk(clk),.Q(Q[6]),.set(set));
D_trigger uu88(.D(D[7]),.clk(clk),.Q(Q[7]),.set(set));
endmodule

//1bit full_adder
module full_adder_1bit(cin,x,y,cout,s);
input cin;
input x,y;
output cout,s;

	assign{cout,s} = x+y+cin;
endmodule

//D_trig
module D_trigger(D,clk,Q,set
);
input D,clk;
input set;
output Q;
reg Q;

always@(posedge clk)
begin
    if(set==1)
    begin
        Q=0; //置位
    end
    else if(set==0)
    begin
        Q=D;
    end
end
endmodule
  1. RTL电路描述:
    在这里插入图片描述
  2. 仿真结果:
    testbench部分代码:
    在这里插入图片描述
    功能仿真结果:
    在这里插入图片描述
    可以看到在每一次输入两个八位二进制数时,会在下个时钟沿到来时实现相加的结果。
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

摆渡沧桑

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值