串并转换verilog

这篇博客介绍了如何使用Verilog进行串行到并行转换,包括详细的代码实现和测试 bench 示例。
摘要由CSDN通过智能技术生成

串并转换verilog

verilog如下

//串并转换
//串转并
module serial2parallel(
	input clk,
	input rst_n,
	input datain,
	input shift_en,
	input load,
	output reg [15:0] dataout);
	
reg [15:0] shiftr;
//串转并
always@(posedge clk)
	if(!rst_n)
		shiftr<=0;
	else if(shift_en)
	shiftr<=
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值