dve 二维数组信号 显示波形_交互式仿真下dve和verdi中查看二维数组值

本文介绍了在交互式仿真环境中,使用dve和verdi工具查看二维数组内存值的过程。dve工具在仿真运行后能自动更新memory窗口的值,而verdi工具则需要手动重新加载才能查看最新状态。对于稀疏数组,两者都无法直接显示其内容,突显了工具在处理特定数据类型时的局限性。
摘要由CSDN通过智能技术生成

在交互式仿真中,可以通过dve工具和verdi工具,查看二维数组的值。但是不能查看稀疏数组的值。

什么是稀疏数组,类似于如下定义:

reg [7:0] memory [bit[63:0]]

一、dve工具

启动dve工具,进行交互式仿真后。

首先打开需要查看二维数组的源代码,然后选择该二维数组,右键选择show memory。

此时,会新开一个窗口,显示该二维数组的值。

在console中,输入run 100,仿真一段时间。此时查看memory,发现值发生了变化。

二、Verdi工具

启动dve工具,进行交互式仿真后。

首先打开需要查看二维数组的源代码,然后选择该二维数组,右键选择Debug Memory->Show Memory Contents。

弹出对话框,选择yes

接着又出来一个对话框,选择OK。

等待之后,会弹出新的对话框,直接选择OK。

此时会出现memory窗口,显示该二维数组的值。

在console中,输入run 100,仿真一段时间。发现memory没有更新。此时需要按照上述步骤重新加载一次memory,才能查看。感觉这个没有dve的memory查看好用。

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值