verdi查看二维数组波形正确操作

使用Verdi查看二维数组波形

在编写verilog设计电路时,需要通过查看波形来进行调试,而在数字IC设计中常用的波形查看工具就是Verdi。
使用makefile脚本语言打开verdi后,常规操作是将鼠标点击要查看的信号,再使用ctrl+w即可添加该信号波形。但如果想要查看二维数组信号波形,则会出现如下所示的问题。在这里插入图片描述
这是由于在top模块中没有设置对二维数组进行记录,正确的方法是在top模块中添加如下函数:
$fsdbDumpMDA();
但是会发现,即便如此可能还是无法成功的添加二维数组波形,这时将top中的fsdb函数顺序按下面方式编辑即可

    $fsdbDumpvars(0);
    $fsdbDumpMDA();
  • 5
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值