verilog 简单module_最简单的verilog测试

command

vcs -LDFLAGS -Wl,-no-as-needed -sverilog -full64 -timescale=1ns/1ps -y $DC_HOME/dw/sim_ver +incdir+$DC_HOME/dw/sim_ver +libext+.v +define+SIM_RAM -debug_acc test_top.sv -l ./cmp.log

./simv +vcs+nostdout -l ./run.log

verdi -sverilog test_top.sv -y $DC_HOME/dw/sim_ver +incdir+$DC_HOME/dw/sim_ver +libext+.v --ssf test_top.fsdb &

test_top.sv

module test_top();

reg[11:0] a, b;

bit clk;

initial begin

forever begin

#1ns;

clk = ~clk;

end

end

wire[23:0] c;

DW02_mult_6_stage #(

.A_width('d12),

.B_width('d12)

) u_mult_inst(

.A(a),

.B(b),

.TC(1'b0),

.CLK(clk),

.PRODUCT(c)

);

initial begin

fork

while(1) begin

@(posedge clk);

a <= $urandom_range(1, 100);

b <= $urandom_range(1, 100);

end

begin

#1000ns;

$finish;

end

join

end

initial begin

$fsdbDumpfile("top.fsdb");

$fsdbDumpvars(0, "dw_top");

end

endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值