xilinx fpga 生成3*3窗口

本文介绍了一位新手如何通过调用移位寄存器IP核,克服困难,成功创建3x3窗口的过程。详细讲述了参数设置、模块接口、仿真激励以及最终的仿真结果展示。
摘要由CSDN通过智能技术生成

       在写滤波程序的时候在网上看了好几篇大佬的笔记,都有提到使用3*3窗口,由于小白一个,看到复杂的理论就惧怕的不行。但是现在不得不上,自己调用移位寄存器ip核然后做了个3*3窗口出来,自己动手作出来忽然感觉到也不难嘛。

       先贴一张ip核模块的接口参数图

生成3*3窗口需要两个寄存器,参数设置为数据宽度8,深度一个为4,另一个为3,选sclr端口

然后把两个移位寄存器串联起来就可以了

仿真的时候写好激励就行

放上最后仿真图

两条线那会是不是3*3矩阵呀,然后往右推就行。

顶层模块

 1 module shift3by3(
 2 clk,
 3 rst_n,
 4 datain,
 5 dataout
 6 );
 7 input clk;
 8 input rst_n;
 9 input [7:0] datain;
10 output [7:0] dataout;
11 
12 wire [7:0] d_in;
13</
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值